Mobile QR Code QR CODE

References

1 
F. Wang, Y. Feng, X. Zhan, B. Chen, and J. Chen, "Implementation of data search in multi-level NAND flash memory by complementary storage scheme," IEEE Electron Device Lett., vol. 41, no. 8, pp. 1189-1192, 2020.DOI
2 
G. H. Lee, S. Hwang, J. Yu, and H. Kim, "Architecture and process integration overview of 3D NAND flash technologies," Appl. Sci., vol. 11, no. 15, p. 6703, 2021.DOI
3 
R. Bez, E. Camerlenghi, A. Modelli, and A. Visconti, "Introduction to flash memory," Proc. IEEE, vol. 91, no. 4, pp. 489-502, 2003.DOI
4 
X. Ja et al., "A novel program scheme to optimize program disturbance in dual-deck 3D NAND flash memory," IEEE Electron Device Lett., vol. 43, no. 7, pp. 1033-1036, 2022.DOI
5 
W. Shim, "Impact of 3D NAND current variation on inference accuracy for in-memory computing," J. Semicond. Technol. Sci., vol. 2, no. 5, pp. 341-345, 2022.URL
6 
D. Kahng and S. M. Sze, "A floating gate and its application to memory devices," Bell Syst. Tech. J., vol. 46, no. 6, pp. 1288-1295, 1967.DOI
7 
S. Hwang, J. Yu, M. S. Song, H. Hwang, and H. Kim, "Memcapacitor crossbar array with charge trap NAND flash structure for neuromorphic computing," Adv. Sci., vol. 10, no. 32, p. 202303817, 2023.DOI
8 
S. S. Kim et al., "Review of semiconductor flash memory devices for material and process issues," Adv. Mater., vol. 35, no., 42, p. 2200659, 2022.DOI
9 
Y. Yamauchi, Y. Kamakura, and T. Matsuoka, "Scalable virtual-ground multilevel-cell floating-gate flash memory," IEEE Trans. Electron Devices, vol. 60, no. 8, pp. 2518-2524, 2013.DOI
10 
A. Goda, "Recent progress on 3D NAND flash technologies," Electronics, vol. 10, no. 24, p. 3156, 2021.DOI
11 
T. Tanaka et al., "7.7 A 768Gb 3b/cell 3D-floating-gate NAND flash memory," in IEEE International Solid-State Circuits Conference (ISSCC), 2016: IEEE, pp. 142-144.DOI
12 
J.-D. Lee, S.-H. Hur, and J.-D. Choi, "Effects of floating-gate interference on NAND flash memory cell operation," IEEE Electron Device Lett., vol. 23, no. 5, pp. 264-266, 2002.DOI
13 
K. Kim, "Technology for sub-50nm DRAM and NAND flash manufacturing," in IEEE Int. Electron Devices Meeting (IEDM), 2005: IEEE, pp. 323-326.DOI
14 
H. Kim, J. Park, M.-W. Kwon, J.-H. Lee, and B.-G. Park, "Silicon-based floating-body synaptic transistor with frequency-dependent short- and long-term memories," IEEE Electron Device Lett., vol. 37, no. 3, pp. 249-252, 2016.DOI
15 
J. Bu and M. H. White, "Electrical characterization of ONO triple dielectric in SONOS nonvolatile memory devices," Solid-State Electron., vol. 45, no. 1, pp. 47-51, 2001.DOI
16 
P. Dimitrakis, Charge-trapping Non-volatile memories. Springer, 2015.URL
17 
G.-H. Park and W.-J. Cho, "Reliability of modified tunneling barriers for high performance nonvolatile charge trap flash memory application," Appl. Phys. Lett., vol. 96, no. 4, p. 043503, 2010.DOI
18 
C. Zhao, C. Z. Zhao, S. Taylor, and P. R. Chalker, "Review on non-volatile memory with high-k dielectrics: Flash for generation beyond 32 nm," Materials, vol. 7, no. 7, pp. 5117-5145, 2014.DOI
19 
S. Hwang et al., "Capacitor-based synaptic devices for hardware spiking neural networks," IEEE Electron Device Lett., vol. 43, no. 4, pp. 549-552, 2022.DOI
20 
H.-N. Yoo et al., "Analysis of GIDL erase characteristics in vertical NAND flash memory," J. Semicond. Technol. Sci., vol. 23, no. 3, pp. 196-201, 2023.DOI
21 
K. J. Yoon, Y. Kim, and C. S. Hwang, "What will come after V‐NAND—vertical resistive switching memory?" Adv. Electron. Mater., vol. 5, no. 9, p. 1800914, 2019.DOI
22 
D. Spassov, A. Paskaleva, T. A. Krajewski, E. Guziewicz, G. Luka, and T. Ivanov, "Al2O3/HfO2 multilayer high-k dieletric stacks for charge trapping flash memories," Phys. Status Solidi A-Appl. Mat., vol. 215, no. 16, p. 1700854, 2018.DOI
23 
M.-H. Baek and H. Kim, "Polysilicon-channel synaptic transistors for implementation of short- and long-term memory characteristics," Biomimetics, vol. 8, no. 4, p. 368, 2023.DOI
24 
Y.-T. Seo et al., " 3-D AND-Type Flash Memory Architecture With High-κ Gate Dielectric for High-Density Synaptic Devices," IEEE Trans. Electron Devices, vol. 68, no. 8, pp. 3801-3806, 2021.DOI
25 
J.-X. Chen, J.-P. Xu, L. Liu, and P.-T. Lai, "Performance improvements of metal–oxide–nitride–oxide–silicon nonvolatile memory with ZrO2 charge-trapping layer by using nitrogen incorporation," Appl. Phys. Express, vol. 6, no. 8, p. 084202, 2013.DOI
26 
T.-M. Pan and W.-W. Yeh, "A high-k Y2O3 charge trapping layer for nonvolatile memory application," Appl. Phys. Lett., vol. 92, no. 17, p. 173506, 2008.DOI
27 
Y.-J. Kim, and J.-Y. Park, "Investigation of mechanical stability during electro-thermal annealing in a 3D NAND flash memory string," J. Semicond. Technol. Sci., vol. 22, no. 3, 139-145, 2022.URL
28 
C. Zhu et al., "Performance enhancement of multilevel cell nonvolatile memory by using a bandgap engineered high-κ trapping layer," Appl. Phys. Lett., vol. 97, no. 25, p. 253503, 2010.DOI
29 
G. Congedo, A. Lamperti, L. Lamagna, and S. Spiga, "Stack engineering of TANOS charge-trap flash memory cell using high-κ ZrO2 grown by ALD as charge trapping layer," Microelectron. Eng., vol. 88, no. 7, pp. 1174-1177, 2011.DOI
30 
E. Vianello et al., "Program efficiency and high temperature retention of SiN/high-K based memories," Microelectron. Eng., vol. 86, no. 7-9, pp. 1830-1833, 2009.DOI
31 
M.-H. Jung, K.-S. Kim, G.-H. Park, and W.-J. Cho, "Dependence of charge trapping and tunneling on the silicon-nitride (Si3N4) thickness for tunnel barrier engineered nonvolatile memory applications," Appl. Phys. Lett., vol. 94, no. 5, p. 053508, 2009.DOI
32 
J.-J. Kim and S.-M. Yoon, "Synergic impacts of CF4 plasma treatment and post-thermal annealing on the nonvolatile memory performance of charge-trap-assisted memory thin-film transistors using Al–HfO2 charge trap and In–Ga–Zn–O active channel layers," ACS Appl. Electron. Mater., vol. 4, no. 3, pp. 1277-1287, 2022.DOI
33 
H.-W. You and W.-J. Cho, "Charge trapping properties of the HfO2 layer with various thicknesses for charge trap flash memory applications," Appl. Phys. Lett., vol. 96, no. 9, p. 093506, 2010.DOI
34 
Y. Yao et al., "In situ electron holography study of charge distribution in high-κ charge-trapping memory," Nat. Commun., vol. 4, no. 1, p. 2764, 2013.DOI
35 
W. Zhu, T. Ma, S. Zafar, and T. Tamagawa, "Charge trapping in ultrathin hafnium oxide," IEEE Electron Device Lett., vol. 23, no. 10, pp. 597-599, 2002.DOI
36 
X. Lan et al., "The effect of thermal treatment induced inter-diffusion at the interfaces on the charge trapping performance of HfO2/Al2O3 nanolaminate-based memory devices," J. Appl. Phys., vol. 114, no. 4, p. 044104, 2013.DOI
37 
A. Paskaleva, M. Rommel, A. Hutzler, D. Spassov, and A. J. Bauer, "Tailoring the electrical properties of HfO2 MOS-devices by aluminum doping," ACS Appl. Mater. Interfaces, vol. 7, no. 31, pp. 17032-17043, 2015.DOI
38 
Z. Cui, D. Xin, T. Kim, J. Choi, J. Cho, and J. Yi, "Improvement of the charge retention of a non-volatile memory by a bandgap-engineered charge trap layer," ECS J. Solid State Sci. Technol., vol. 10, no. 12, p. 125002, 2021.DOI
39 
C.-H. Lee, S.-H. Hur, Y.-C. Shin, J.-H. Choi, D.-G. Park, and K. Kim, "Charge-trapping device structure of SiO2/SiN/high-k dielectric Al2O3 for high-density flash memory," Appl. Phys. Lett., vol. 86, no. 15, p. 152908, 2005.DOI
40 
S. Choi, M. Cho, H. Hwang, and J. Woo Kim, "Improved metal–oxide–nitride–oxide–silicon-type flash device with high-k dielectrics for blocking layer," J. Appl. Phys., vol. 94, no. 8, pp. 5408-5410, 2003.DOI
41 
S. B. Hong et al., "Variation of poly-Si grain structures under thermal annealing and its effect on the performance of TiN/Al2O3/Si3N4/SiO2/poly-Si capacitors," Appl. Surf. Sci., vol. 477, pp. 104-110, 2019.DOI
42 
J. K. Park et al., "Improvement of memory performance by high temperature annealing of the Al2O3 blocking layer in a charge-trap type flash memory device," Appl. Phys. Lett., vol. 96, no. 22, p. 222902, 2010.DOI
43 
V. V. Afanas'ev, M. Houssa, A. Stesmans, C. Merckling, T. Schram, and J. Kittl, "Influence of Al2O3 crystallization on band offsets at interfaces with Si and TiNx," Appl. Phys. Lett., vol. 99, no. 7, p. 072103, 2011.DOI
44 
R. Jeff et al., "Charge storage characteristics of ultra-small Pt nanoparticle embedded GaAs based non-volatile memory," Appl. Phys. Lett., vol. 99, no. 7, p. 072104, 2011.DOI
45 
P. Han et al., "Outstanding memory characteristics with atomic layer deposited Ta2O5/Al2O3/TiO2/ Al2O3/Ta2O5 nanocomposite structures as the charge trapping layer," Appl. Surf. Sci., vol. 467, pp. 423-427, 2019.DOI
46 
J. Kim, J. Yang, J. Lee, and J. Hong, "Memory characteristics of cobalt-silicide nanocrystals embedded in HfO2 gate oxide for nonvolatile nanocrystal flash devices," Appl. Phys. Lett., vol. 92, no. 1, p. 013512, 2008.DOI
47 
S. Maikap et al., "Charge trapping characteristics of atomic-layer-deposited HfO2 films with Al2O3 as a blocking oxide for high-density non-volatile memory device applications," Semicond. Sci. Technol., vol. 22, no. 8, p. 884, 2007.DOI
48 
Y. Zhang et al., "Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications," Appl. Phys. Lett., vol. 105, no. 17, 2014.DOI
49 
Z. Tang et al., "Dependence of memory characteristics on the (ZrO2)x(SiO2)1− x elemental composition for charge trap flash memory applications," Semicond. Sci. Technol., vol. 30, no. 6, p. 065010, 2015.DOI
50 
K. Han, X. Ma, J. Xiang, H. Yang, and W. Wang, "Effect of low temperature annealing on the electrical properties of an MOS capacitor with a HfO2 dielectric and a TiN metal gate," J. Semicond., vol. 34, no. 11, p. 114007, 2013.DOI