Mobile QR Code QR CODE

  1. (Division of Materials Science and Enginering and Department of Semiconductor Engineering, Hanyang University, Seoul 04763, Korea)
  2. (Department of Electrical and Computer Engineering, Seoul National University, Seoul 08826, Korea)
  3. (Department of AI Semiconductor Engineering, Korea University, Sejong 30019, Korea)



Flash memory, charge trap flash, post-deposition annealing, forming gas annealing

I. INTRODUCTION

The non-volatile memory (NVM) market has experienced consistent growth, driven by concurrent cost reductions. Additionally, the rapid expansion of mobile applications and the smartphone market has led to an increased demand for high-density NVMs. In particular, NAND flash memory has attracted considerable attention, thanks to its scalability, high reliability, and multilevel capabilities [1-5]. NAND flash memory consists of three dielectric layers: tunneling layer, charge-trapping layer (CTL), and blocking layer. The primary mechanism of NAND flash memory involves trapping and de-trapping channel electrons to the CTL through Fowler-Nordheim (FN) tunneling, effectively modulating the threshold voltage between the OFF and ON states [6-8].

Historically, NAND flash memory technology has utilized a poly-Si floating gate to store electrons [9-11]. However, electrons in the poly-Si floating gate exist as free electrons in the conduction band, leading to cell-to-cell interference when scaling down to around 1X nm technology. Moreover, the thickness of the floating gate is constrained by the gate coupling ratio [12,13]. In contrast, CTF memory, based on insulating films, has emerged as a potential replacement for the floating gate technology. This is attributed to its superior retention and endurance characteristics, as well as lower power consumption [14-19]. In particular, CTF technology has successfully addressed cell-to-cell interference issues in NAND flash applications. Furthermore, it has provided advantages by overcoming scaling limits through the application of a vertical stacked structure [20,21].

Recently, efforts have been directed towards enhancing the performance of CTF memory by incorporating various high-k materials (Si$_{3}$N$_{4}$, HfO$_{2}$, TiO$_{2}$, ZrO$_{2}$, Y$_{2}$O$_{3}$) as gate dielectric layers [22-27]. Among these materials, Si$_{3}$N$_{4}$ and HfO$_{2}$ are commonly employed. Si$_{3}$N$_{4}$ is preferred for its excellent electrical characteristics and reliability. However, its trapping efficiency decreases as the film thickness decreases, imposing limitations on scalability [28-31]. On the other hand, HfO$_{2}$ is considered a promising candidate due to its high permittivity and thermal stability [32-35]. Furthermore, HfO$_{2}$ demonstrates a higher trap density, leading to a larger memory window, yet it is accompanied by reliability issues. Research has also been conducted to enhance charge trapping efficiency by either doping Aluminum atoms into HfO$_{2}$ or stacking them with Al$_{2}$O$_{3}$ [36-38]. While physical scaling has been achieved through the vertical stacked structure, logical scaling encounters limitations due to constraints in the memory window and reliability issues.

Additionally, the blocking layer is crucial in preventing the loss of charges vertically in the charge trapping layer. The utilization of SiO$_{2}$ as the blocking layer provides excellent interface state properties, but requires incorporating high-k materials, such as Al$_{2}$O$_{3}$, so as to address gate leakage during the programming process [39-42]. Al$_{2}$O$_{3}$ also has the advantage of good chemical stability and large band offset with Si -[43]. Nevertheless, Al$_{2}$O$_{3}$ exhibits inferior interface state properties when compared to SiO$_{2}$, containing a notable quantity of traps within the thin film. Consequently, ongoing endeavors to optimize the layer stack remain imperative.

In this study, we conducted a thorough analysis of the characteristics of a TiN/Al$_{2}$O$_{3}$/HfO$_{2}$/SiO$_{2}$/Si (TAHOS) stack capacitor, which is most commonly used gate stack in flash memory technologies, under various post-deposition annealing (PDA) and forming gas annealing (FGA) conditions. We compared eight different conditions to investigate the impact of temperature and the type of annealing. Firstly, we examined the trends in memory window and flat band voltage (V$_{\mathrm{fb}}$) based on various PDA conditions, focusing on oxide trap behavior. Secondly, we assessed the influence of interface traps by analyzing the C–V characteristics at different frequencies. Finally, we compared the results of PDA + FGA with those obtained from only PDA case. The goal of this study was to identify the optimal conditions for the TAHOS stack based on the annealing parameters.

II. DEVICE CHARACTERISTICS

Fig. 1 illustrates the fabrication process and top-view optical image of a capacitor with the TAHOS stack. Firstly, a 4-nm-thick SiO$_{2}$ layer was formed on a 6-inch p-type Si wafer by dry oxidation process. Subsequently, 6-nm-thick HfO$_{2}$ and 10-nm-thick Al$_{2}$O$_{3}$ layers were sequentially deposited using atomic layer deposition (ALD). After that, the wafer was divided into several dies to accommodate different annealing conditions. PDA was carried out for 10 seconds using N$_{2}$ gas in the temperature range of 900 $^{\circ}$C to 1050 $^{\circ}$C. The samples subjected solely to post-deposition annealing (PDA) were designated as S1 to S4 based on temperature conditions. A shadow mask with a diameter of 200 ${\mu}$m was affixed, and a 50-nm-thick layer of TiN was deposited using sputtering. Subsequently, a 25-nm-thick layer of Pt was deposited for the top electrode contact using an e-beam evaporator to prevent potential scratching or abrasion of the TiN. Finally, FGA was conducted for 10 minutes using H$_{2}$ (5%) at 450 $^{\circ}$C. The devices subjected to both PDA and FGA were designated as S5 to S8, corresponding to PDA temperature conditions. Table 1 provides the summary of the samples employed in this study along with their respective annealing conditions.

The electrical characteristics of the fabricated TAHOS capacitor were measured at 100 kHz using the B1520A (Multi Frequency Capacitance Measurement Unit, MFCMU) and a source measure unit (B1517A having maximum slew rate of 0.2 V/${\mu}$s) of Keysight B1500A semiconductor parameter analyzer.

Fig. 1. Schematic of fabrication process and optical microscopic image of TAHOS (TiN/Al2O3/HfO2/SiO2/Si) capacitor.
../../Resources/ieie/JSTS.2024.24.4.323/fig1.png
Table 1. Summary of Fabricated samples

Sample Index

Annealing Condition

As Dep.

S1

S2

S3

S4

S5

S6

S7

S8

No anneal

N2, PDA 900 ℃

N2, PDA 950 ℃

N2, PDA 1000 ℃

N2, PDA 1050 ℃

N2, PDA 900 ℃ + FGA 450 ℃ (H2 gas)

N2, PDA 950 ℃ + FGA 450 ℃ (H2 gas)

N2, PDA 1000 ℃ + FGA 450 ℃ (H2 gas)

N2, PDA 1050 ℃ + FGA 450 ℃ (H2 gas)

III. POST DEPOSITION ANNEALING (PDA)

To assess the impact of PDA on the TAHOS capacitor, C–V characteristics of cells in their pristine state were measured. Fig. 2(a) shows the normalized pristine C-V measurement results of the fabricated capacitors using different PDA temperatures under N$_{2}$ atmosphere (S1 ~ S4). The capacitance values were normalized using the accumulation capacitance (C$_{\mathrm{ox}}$). Measurements were carried out with the gate voltage (V$_{\mathrm{g}}$) sweeping from -3~V to 1 V to suppress the potential charge trapping effect. The AC signal frequency was set to 100 kHz, and the AC voltage was maintained at 0.03 V. All C-V curves exhibited the typical high-frequency MOS capacitor characteristics. In Fig. 2(b), to analyze temperature trends comprehensively, measurements were conducted on 10 devices for each condition, and V$_{\mathrm{fb}}$values for 10 devices were extracted based on various annealing temperature conditions. V$_{\mathrm{fb}}$ was determined at the point where C/C$_{\mathrm{ox}}$reached 0.6 to extract exact V$_{\mathrm{fb}}$. As the annealing temperature increased, V$_{\mathrm{fb}}$ shifted in the positive direction. This observation suggests that with increasing temperature, the positive oxide charge contributing to the negative shift in V$_{\mathrm{fb}}$ of the TAHOS capacitor tends to decrease. As a consequence of high-temperature annealing, there was a reduction in oxide charge, leading to a positive shift.

Fig. 2(c) presents the C-V characteristics of S1 sample at various V$_{\mathrm{g}}$values. The measurements involved sweeping the voltage from positive to negative and then back to positive, revealing a counterclockwise hysteresis loop indicative of charge trapping occurring. The memory window exhibited a rapid increase with higher V$_{\mathrm{g}}$. Specifically, when the sweeping voltages range from ${\pm}$3 V to ${\pm}$10 V, the measured memory window was changed from 0.15 V to 5.1 V. As the sweeping voltage exceeds 10 V, the memory window starts to saturate, reaching its maximum value at 15 V. The memory window values were extracted from points where the C/C$_{\mathrm{ox}}$ value was 0.6 at each voltage. The memory window increases linearly and saturates after a certain voltage, as depicted in Fig. 2(d). This suggests the occurrence of charge trapping and de-trapping within the HfO$_{2}$ layer during the V$_{\mathrm{g}}$ sweep.

Fig. 3(a) shows the C-V hysteresis, swept from V$_{\mathrm{g}}$ of - 10 V to +10 V and then back to -10 V. In Fig. 3(b), the distribution of memory windows is depicted, measured across 10 devices. The memory window in the C-V curve was defined as the difference between V$_{\mathrm{fb}}$ of the program and erase states. These values were obtained by double sweeping V$_{\mathrm{g}}$ from -10 V to 10 V. As the annealing temperature increases, there was a gradual decrease in the memory window. This phenomenon can be attributed to the reduction in charge trap density with the elevation of temperature. To verify this decrease in charge trap density, the formula for charge trap density (N$_{\mathrm{t}}$) was utilized. N$_{\mathrm{t}}$ for the fabricated capacitor can be estimated using the following equation [44-49]:

(1)
$ N_{\mathrm{t}}=C_{\mathrm{OX}}\Delta V_{\mathrm{fb}}/qA $

where C$_{\mathrm{ox}}$ denotes the capacitance value of the accumulation region, A represents the effective device area (gate electrode area was 10,000${\pi}$ ${\mu}$m$^{2}$), and $\Delta $V$_{\mathrm{fb}}$ corresponds to the memory window value obtained through hysteresis. The charge trap density in the TAHOS capacitor was measured for four samples under an applied voltage of ${\pm}$10 V. Utilizing the provided Eq. (1), the estimated charge trap density was 2.24 ${\times}$ 10$^{13}$ cm$^{-2}$ and 4.95 ${\times}$ 10$^{12}$ cm$^{-2}$ for S1 and S4, respectively. These results suggest a decreasing trend in charge trap density with increasing annealing temperature. Additionally, the calculated values for S2 and S3 were 1.05 ${\times}$ 10$^{13}$ cm$^{-2}$ and 7.12 ${\times}$ 10$^{12}$ cm$^{-2}$, respectively. This implies that a broader memory window becomes evident when the charge trap density is higher, facilitating the storage or release of charge in the capacitor. The memory window was most extensive in S1, characterized by the highest charge trap density, and was the narrowest in S4.

Fig. 2. (a) Measured C-V characteristics of 4 samples (S1 ~ S4) in their pristine states; (b) Distributions of Vfbextracted from 10 devices per each condition; (c) C-V curves of S1 sample with respect to the gate voltage sweep range; (d) Extracted memory window according to gate sweep voltage.
../../Resources/ieie/JSTS.2024.24.4.323/fig2.png
Fig. 3. (a) C-V curves for samples (S1 ~ S4) measured under the same Vgsweep range from −10 V to 10 V; (b) Memory window distributions extracted from 10 devices per each sample.
../../Resources/ieie/JSTS.2024.24.4.323/fig3.png

IV. FORMING GAS ANNEALING (FGA)

To investigate the impact of forming gas annealing, an additional annealing step was performed using H$_{2}$ gas at 450 $^{\circ}$C for 10 minutes. C-V characteristics of the fabricated capacitors under various PDA + FGA conditions were measured. In Fig. 4(a), the pristine C-V characteristics are presented for samples after forming gas annealing (S5 ~ S8). Fig. 4(b) shows V$_{\mathrm{fb}}$ distributions of each sample, comparing V$_{\mathrm{fb}}$ before and after FGA. It was consistently observed that V$_{\mathrm{fb}}$ increased in all samples after performing FGA following PDA. This can be attributed to the passivation of fixed oxide charge and interface trapped charge by FGA. Due to the decrease in interface traps, the slope of the C-V graph increases in depletion region, and additional annealing at 450 $^{\circ}$C leads to defect passivation, resulting in the flat band voltage shifting in a more positive direction.

Fig. 4(c) shows the C-V hysteresis measured through a double sweep of V$_{\mathrm{g}}$ from -10 V to 10 V, and the memory window distributions of each sample were extracted in Fig. 4(d), comparing the results with and without FGA. With an increase in the PDA temperature, the reduction in the memory window remained consistent, irrespective of whether FGA was conducted. The annealing at elevated temperatures resulted in a decrease in trap density as the temperature rose, gradually diminishing the memory window at the same sweep voltage.

To see the effect of the interface trap, various measurements were made while increasing the frequency from 1 kHz to 1 MHz. Fig. 5(a) and (b) depict the C-V characteristics of sample S1 and S5, respectively, depicting the cases where the FGA process was not performed and where it was performed. Without FGA process, the C-V curve exhibited a pronounced hump in the transition region below 100 kHz, introducing inaccuracies in the extraction of V$_{\mathrm{fb}}$. This inaccuracy led to a negative V$_{\mathrm{fb}}$ shift, significantly deviating from the ideal C-V characteristic. The presence of this hump is attributed to the behavior of TAHOS capacitors with defective interfaces, where interface traps capture or emit electrons, forming interface trap capacitance particularly at lower frequencies. At high frequencies, the interface trap remained unresponsive to small AC signals, rendering its effect less apparent. Nevertheless, after FGA, it became evident that the hump phenomenon was mitigated, attributed to a reduction in interface traps. Consequently, the variation in V$_{\mathrm{fb}}$ across different frequencies was minimized. The hump phenomenon induced by interface traps at low frequencies was found to occur irrespective of the PDA temperature. As seen in Fig. 6(a), all samples (S1 ~ S4) at 1 kHz, each annealed at different PDA temperatures, exhibited the hump phenomenon. However, in Fig. 6(b), as observed in the measurements for samples (S5 ~ S8), these hump phenomena consistently decreased upon performing FGA -[50]. The reduction of interface traps stands out as one of the key failure mechanisms influencing charge loss in capacitors. To assess whether the reduction of interface traps enhances retention characteristics, retention tests were conducted on samples S1 and S5. The program and erase operations were executed at 20 V (pulse width of 1 ms) and -15 V (pulse width of 2 ms), respectively. Fig. 7 illustrates the retention characteristics of S1 and S5 measured at room temperature (300 K). When HfO$_{2}$ is employed as a charge trapping layer, as in previous studies, the retention characteristics are suboptimal due to shallow traps. However, with the implementation of FGA, it is evident that charge loss was improved by nearly 20%.

Fig. 8 illustrates C-V characteristics of the pristine state under different annealing conditions. As annealing progresses, two distinct characteristics emerge. Firstly, the slope of the C-V graph increased in comparison to the cell without any annealing process (denoted as As Dep.). This phenomenon is attributed to the presence of interface traps, causing fluctuations in capacitance due to the capture and emission of electrons, resulting in a lowered slope. Also, as PDA proceeded, V$_{\mathrm{fb}}$ gradually increased due to the reduction of positive oxide charge and interface traps. Lastly, V$_{\mathrm{fb}}$ affected by positively charged interface traps was passivated after FGA, leading to a further positive shift.

Fig. 4. (a) C-V curve for samples (S5 ~ S8) in pristine states; (b) Changes of Vfbdistributions before and after FGA; (c) C-V curve for samples (S5 ~ S8) measured under the same gate sweep voltage range from −10 V to 10 V; (b) Changes of memory window distributions before and after FGA.
../../Resources/ieie/JSTS.2024.24.4.323/fig4.png
Fig. 5. C-V characteristics with respect to various frequencies: (a) without FGA (sample S1); (b) with FGA (sample S5).
../../Resources/ieie/JSTS.2024.24.4.323/fig5.png
Fig. 6. C-V characteristics at 1 kHz: (a) with and (b) without FGA, demonstrating the passivation effect of interface traps.
../../Resources/ieie/JSTS.2024.24.4.323/fig6.png
Fig. 7. Retention characteristics of samples with PDA (S1, black) and PDA+FGA (S5, red) conditions.
../../Resources/ieie/JSTS.2024.24.4.323/fig7.png
Fig. 8. C-V characteristics of samples in pristine states (As Dep., PDA, and PDA+FGA conditions).
../../Resources/ieie/JSTS.2024.24.4.323/fig8.png

V. CONCLUSIONS

In this study, TAHOS stacked MOS capacitors were fabricated under various annealing conditions. A total of 8 splits were conducted, varying gas types and temperature conditions, and the basic characteristics were systematically analyzed. Specifically, in the PDA condition, variations in V$_{\mathrm{fb}}$ and memory window were investigated with respect to different annealing temperatures through charge trap density analysis. The impact of the FGA was assessed by examining the reduction in interface traps through C-V characteristics at various frequencies. Furthermore, V$_{\mathrm{fb}}$ and memory window characteristics were measured and analyzed both before and after FGA implementation. Consequently, performing FGA in conjunction with PDA at an appropriate temperature can lead to enhanced memory characteristics.

ACKNOWLEDGMENTS

This work was supported in part by the NRF funded by the Korean government (2022M3I7A107854, 50%) and Regional Innovatinon Strategy (RIS) through the National Research Foundation of Korea (NRF) funded by the Ministry of Education (MOE)(2021RIS-004, 50%). The authors would like to thank the BK21 FOUR Program. M. S. Song and H. Hwang equally contributed to this work.

References

1 
F. Wang, Y. Feng, X. Zhan, B. Chen, and J. Chen, "Implementation of data search in multi-level NAND flash memory by complementary storage scheme," IEEE Electron Device Lett., vol. 41, no. 8, pp. 1189-1192, 2020.DOI
2 
G. H. Lee, S. Hwang, J. Yu, and H. Kim, "Architecture and process integration overview of 3D NAND flash technologies," Appl. Sci., vol. 11, no. 15, p. 6703, 2021.DOI
3 
R. Bez, E. Camerlenghi, A. Modelli, and A. Visconti, "Introduction to flash memory," Proc. IEEE, vol. 91, no. 4, pp. 489-502, 2003.DOI
4 
X. Ja et al., "A novel program scheme to optimize program disturbance in dual-deck 3D NAND flash memory," IEEE Electron Device Lett., vol. 43, no. 7, pp. 1033-1036, 2022.DOI
5 
W. Shim, "Impact of 3D NAND current variation on inference accuracy for in-memory computing," J. Semicond. Technol. Sci., vol. 2, no. 5, pp. 341-345, 2022.URL
6 
D. Kahng and S. M. Sze, "A floating gate and its application to memory devices," Bell Syst. Tech. J., vol. 46, no. 6, pp. 1288-1295, 1967.DOI
7 
S. Hwang, J. Yu, M. S. Song, H. Hwang, and H. Kim, "Memcapacitor crossbar array with charge trap NAND flash structure for neuromorphic computing," Adv. Sci., vol. 10, no. 32, p. 202303817, 2023.DOI
8 
S. S. Kim et al., "Review of semiconductor flash memory devices for material and process issues," Adv. Mater., vol. 35, no., 42, p. 2200659, 2022.DOI
9 
Y. Yamauchi, Y. Kamakura, and T. Matsuoka, "Scalable virtual-ground multilevel-cell floating-gate flash memory," IEEE Trans. Electron Devices, vol. 60, no. 8, pp. 2518-2524, 2013.DOI
10 
A. Goda, "Recent progress on 3D NAND flash technologies," Electronics, vol. 10, no. 24, p. 3156, 2021.DOI
11 
T. Tanaka et al., "7.7 A 768Gb 3b/cell 3D-floating-gate NAND flash memory," in IEEE International Solid-State Circuits Conference (ISSCC), 2016: IEEE, pp. 142-144.DOI
12 
J.-D. Lee, S.-H. Hur, and J.-D. Choi, "Effects of floating-gate interference on NAND flash memory cell operation," IEEE Electron Device Lett., vol. 23, no. 5, pp. 264-266, 2002.DOI
13 
K. Kim, "Technology for sub-50nm DRAM and NAND flash manufacturing," in IEEE Int. Electron Devices Meeting (IEDM), 2005: IEEE, pp. 323-326.DOI
14 
H. Kim, J. Park, M.-W. Kwon, J.-H. Lee, and B.-G. Park, "Silicon-based floating-body synaptic transistor with frequency-dependent short- and long-term memories," IEEE Electron Device Lett., vol. 37, no. 3, pp. 249-252, 2016.DOI
15 
J. Bu and M. H. White, "Electrical characterization of ONO triple dielectric in SONOS nonvolatile memory devices," Solid-State Electron., vol. 45, no. 1, pp. 47-51, 2001.DOI
16 
P. Dimitrakis, Charge-trapping Non-volatile memories. Springer, 2015.URL
17 
G.-H. Park and W.-J. Cho, "Reliability of modified tunneling barriers for high performance nonvolatile charge trap flash memory application," Appl. Phys. Lett., vol. 96, no. 4, p. 043503, 2010.DOI
18 
C. Zhao, C. Z. Zhao, S. Taylor, and P. R. Chalker, "Review on non-volatile memory with high-k dielectrics: Flash for generation beyond 32 nm," Materials, vol. 7, no. 7, pp. 5117-5145, 2014.DOI
19 
S. Hwang et al., "Capacitor-based synaptic devices for hardware spiking neural networks," IEEE Electron Device Lett., vol. 43, no. 4, pp. 549-552, 2022.DOI
20 
H.-N. Yoo et al., "Analysis of GIDL erase characteristics in vertical NAND flash memory," J. Semicond. Technol. Sci., vol. 23, no. 3, pp. 196-201, 2023.DOI
21 
K. J. Yoon, Y. Kim, and C. S. Hwang, "What will come after V‐NAND—vertical resistive switching memory?" Adv. Electron. Mater., vol. 5, no. 9, p. 1800914, 2019.DOI
22 
D. Spassov, A. Paskaleva, T. A. Krajewski, E. Guziewicz, G. Luka, and T. Ivanov, "Al2O3/HfO2 multilayer high-k dieletric stacks for charge trapping flash memories," Phys. Status Solidi A-Appl. Mat., vol. 215, no. 16, p. 1700854, 2018.DOI
23 
M.-H. Baek and H. Kim, "Polysilicon-channel synaptic transistors for implementation of short- and long-term memory characteristics," Biomimetics, vol. 8, no. 4, p. 368, 2023.DOI
24 
Y.-T. Seo et al., " 3-D AND-Type Flash Memory Architecture With High-κ Gate Dielectric for High-Density Synaptic Devices," IEEE Trans. Electron Devices, vol. 68, no. 8, pp. 3801-3806, 2021.DOI
25 
J.-X. Chen, J.-P. Xu, L. Liu, and P.-T. Lai, "Performance improvements of metal–oxide–nitride–oxide–silicon nonvolatile memory with ZrO2 charge-trapping layer by using nitrogen incorporation," Appl. Phys. Express, vol. 6, no. 8, p. 084202, 2013.DOI
26 
T.-M. Pan and W.-W. Yeh, "A high-k Y2O3 charge trapping layer for nonvolatile memory application," Appl. Phys. Lett., vol. 92, no. 17, p. 173506, 2008.DOI
27 
Y.-J. Kim, and J.-Y. Park, "Investigation of mechanical stability during electro-thermal annealing in a 3D NAND flash memory string," J. Semicond. Technol. Sci., vol. 22, no. 3, 139-145, 2022.URL
28 
C. Zhu et al., "Performance enhancement of multilevel cell nonvolatile memory by using a bandgap engineered high-κ trapping layer," Appl. Phys. Lett., vol. 97, no. 25, p. 253503, 2010.DOI
29 
G. Congedo, A. Lamperti, L. Lamagna, and S. Spiga, "Stack engineering of TANOS charge-trap flash memory cell using high-κ ZrO2 grown by ALD as charge trapping layer," Microelectron. Eng., vol. 88, no. 7, pp. 1174-1177, 2011.DOI
30 
E. Vianello et al., "Program efficiency and high temperature retention of SiN/high-K based memories," Microelectron. Eng., vol. 86, no. 7-9, pp. 1830-1833, 2009.DOI
31 
M.-H. Jung, K.-S. Kim, G.-H. Park, and W.-J. Cho, "Dependence of charge trapping and tunneling on the silicon-nitride (Si3N4) thickness for tunnel barrier engineered nonvolatile memory applications," Appl. Phys. Lett., vol. 94, no. 5, p. 053508, 2009.DOI
32 
J.-J. Kim and S.-M. Yoon, "Synergic impacts of CF4 plasma treatment and post-thermal annealing on the nonvolatile memory performance of charge-trap-assisted memory thin-film transistors using Al–HfO2 charge trap and In–Ga–Zn–O active channel layers," ACS Appl. Electron. Mater., vol. 4, no. 3, pp. 1277-1287, 2022.DOI
33 
H.-W. You and W.-J. Cho, "Charge trapping properties of the HfO2 layer with various thicknesses for charge trap flash memory applications," Appl. Phys. Lett., vol. 96, no. 9, p. 093506, 2010.DOI
34 
Y. Yao et al., "In situ electron holography study of charge distribution in high-κ charge-trapping memory," Nat. Commun., vol. 4, no. 1, p. 2764, 2013.DOI
35 
W. Zhu, T. Ma, S. Zafar, and T. Tamagawa, "Charge trapping in ultrathin hafnium oxide," IEEE Electron Device Lett., vol. 23, no. 10, pp. 597-599, 2002.DOI
36 
X. Lan et al., "The effect of thermal treatment induced inter-diffusion at the interfaces on the charge trapping performance of HfO2/Al2O3 nanolaminate-based memory devices," J. Appl. Phys., vol. 114, no. 4, p. 044104, 2013.DOI
37 
A. Paskaleva, M. Rommel, A. Hutzler, D. Spassov, and A. J. Bauer, "Tailoring the electrical properties of HfO2 MOS-devices by aluminum doping," ACS Appl. Mater. Interfaces, vol. 7, no. 31, pp. 17032-17043, 2015.DOI
38 
Z. Cui, D. Xin, T. Kim, J. Choi, J. Cho, and J. Yi, "Improvement of the charge retention of a non-volatile memory by a bandgap-engineered charge trap layer," ECS J. Solid State Sci. Technol., vol. 10, no. 12, p. 125002, 2021.DOI
39 
C.-H. Lee, S.-H. Hur, Y.-C. Shin, J.-H. Choi, D.-G. Park, and K. Kim, "Charge-trapping device structure of SiO2/SiN/high-k dielectric Al2O3 for high-density flash memory," Appl. Phys. Lett., vol. 86, no. 15, p. 152908, 2005.DOI
40 
S. Choi, M. Cho, H. Hwang, and J. Woo Kim, "Improved metal–oxide–nitride–oxide–silicon-type flash device with high-k dielectrics for blocking layer," J. Appl. Phys., vol. 94, no. 8, pp. 5408-5410, 2003.DOI
41 
S. B. Hong et al., "Variation of poly-Si grain structures under thermal annealing and its effect on the performance of TiN/Al2O3/Si3N4/SiO2/poly-Si capacitors," Appl. Surf. Sci., vol. 477, pp. 104-110, 2019.DOI
42 
J. K. Park et al., "Improvement of memory performance by high temperature annealing of the Al2O3 blocking layer in a charge-trap type flash memory device," Appl. Phys. Lett., vol. 96, no. 22, p. 222902, 2010.DOI
43 
V. V. Afanas'ev, M. Houssa, A. Stesmans, C. Merckling, T. Schram, and J. Kittl, "Influence of Al2O3 crystallization on band offsets at interfaces with Si and TiNx," Appl. Phys. Lett., vol. 99, no. 7, p. 072103, 2011.DOI
44 
R. Jeff et al., "Charge storage characteristics of ultra-small Pt nanoparticle embedded GaAs based non-volatile memory," Appl. Phys. Lett., vol. 99, no. 7, p. 072104, 2011.DOI
45 
P. Han et al., "Outstanding memory characteristics with atomic layer deposited Ta2O5/Al2O3/TiO2/ Al2O3/Ta2O5 nanocomposite structures as the charge trapping layer," Appl. Surf. Sci., vol. 467, pp. 423-427, 2019.DOI
46 
J. Kim, J. Yang, J. Lee, and J. Hong, "Memory characteristics of cobalt-silicide nanocrystals embedded in HfO2 gate oxide for nonvolatile nanocrystal flash devices," Appl. Phys. Lett., vol. 92, no. 1, p. 013512, 2008.DOI
47 
S. Maikap et al., "Charge trapping characteristics of atomic-layer-deposited HfO2 films with Al2O3 as a blocking oxide for high-density non-volatile memory device applications," Semicond. Sci. Technol., vol. 22, no. 8, p. 884, 2007.DOI
48 
Y. Zhang et al., "Defect states and charge trapping characteristics of HfO2 films for high performance nonvolatile memory applications," Appl. Phys. Lett., vol. 105, no. 17, 2014.DOI
49 
Z. Tang et al., "Dependence of memory characteristics on the (ZrO2)x(SiO2)1− x elemental composition for charge trap flash memory applications," Semicond. Sci. Technol., vol. 30, no. 6, p. 065010, 2015.DOI
50 
K. Han, X. Ma, J. Xiang, H. Yang, and W. Wang, "Effect of low temperature annealing on the electrical properties of an MOS capacitor with a HfO2 dielectric and a TiN metal gate," J. Semicond., vol. 34, no. 11, p. 114007, 2013.DOI
Min Suk Song
../../Resources/ieie/JSTS.2024.24.4.323/au1.png

Min Suk Song received the B.S., and M.S. degree in Electrical and Computer Engineering from Inha University, Incheon, Korea, in 2022, and 2024, respectively. His recent interests include flash memory, ferroelectric devices, and neuro-morphic system.

Hwiho Hwang
../../Resources/ieie/JSTS.2024.24.4.323/au2.png

Hwiho Hwang received the B.S. degree in Electronic Engineering from Inha University, Incheon, Korea, in 2023, where he is currently pursuing the M.S degree. His current research interests include CMOS process integration, emerging memory, and processing-in-memory applications.

Junsu Yu
../../Resources/ieie/JSTS.2024.24.4.323/au3.png

Junsu Yu received the B.S. degree in Electrical and Computer Engi-neering from Seoul National University in 2019, where he is currently working toward the Ph.D. degree. His recent interests include tunnel FET, synaptic devices, flash memory, ferroelectric devices.

Sungmin Hwang
../../Resources/ieie/JSTS.2024.24.4.323/au4.png

Sungmin Hwang received the B.S. degree from the Department of Electronic Engineering, Hanyang University, Seoul, Korea, in 2014 and the Ph.D. degree from Seoul National University, Seoul, in 2022. From 2022 to 2023, he was a Senior Researcher at Materials and Components Research Division, Electronics and Telecommunications Research Institute (ETRI), Daejeon, Korea. He is currently working as an Assistant Professor at the Department of AI Semiconductor Engineering, Korea University, Sejong, Korea, from 2023. His research interests include advanced CMOS devices and process integration, emerging memory technologies, synaptic devices, and neuron circuits for neuromorphic and processing-in-memory technologies.

Hyungjin Kim
../../Resources/ieie/JSTS.2024.24.4.323/au5.png

Hyungjin Kim received the B.S., M.S., and Ph.D. degrees in Electrical and Computer Engineering from Seoul National University, Seoul, Korea, in 2010, 2012, and 2017, respectively. From 2018 to 2019, he served as a postdoctoral researcher at the University of California, Santa Barbara (UCSB), USA. Subsequently, from 2020 to 2024, he held the position of Assistant/Associate Professor in the Department of Electrical and Computer Engineering at Inha University, Incheon, Korea. Currently, he is an Associate Professor with the Division of Materials Science and Engineering at Hanyang University, Seoul, Korea. His research focuses on emerging memory devices and their computing applications.