Mobile QR Code QR CODE

References

1 
D. K. Oh, et al, "Thermal-aware 3D Symmetrical Buffered Clock Tree Synthesis," in ACM Trans. on Design Automation of Electronic Systems (TODAES), vol. 24, no. 3, pp. 1-22, May., 2019.DOI
2 
F. W. Chen, and T. Hwang. "Clock-tree synthesis with methodology of reuse in 3D-IC," in ACM Journal on Emerging Tech. in Computing Systems (JETC), vol. 10, no. 3, pp. 1-22, April. 2014.DOI
3 
Yang Fan, et al. "Time-efficient and TSV-aware 3D gated clock tree synthesis based on self-tuning spectral clustering," in IEEE 60th Int. MWSCAS. 2017, pp. 1200-1203.DOI
4 
Todri, Aida, et al. "A study of tapered 3-D TSVs for power and thermal integrity," in IEEE Trans. on VLSI Sys., vol. 21, no. 2, pp. 306-319, Feb. 2012.DOI
5 
Zhou, Quan, et al. "An accurate detailed routing routability prediction model in placement," in 2015 6th ASQED. IEEE, pp. 119-122.DOI
6 
Yu, Cunxi, et al, "Painting on placement: Forecasting routing congestion using conditional generative adversarial nets," in Proc. of the 56th Annual Design Automation Conf. 2019. pp. 1-6.DOI
7 
Zhao Xin, et al, "Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs," in IEEE Trans. on Components, Packaging and Manufacturing Technology. vol. 1, no. 2, pp. 247-259, Dec. 2010.DOI
8 
Tak-Yung Kim, and Taewhan Kim. "Clock tree synthesis for TSV-based 3D IC designs," in ACM Trans. on Design Automation of Electronic Systems (TODAES), vol. 16, no. 4, pp. 1-21, Oct. 2011.DOI
9 
Minsik Cho, et al, "TACO: Temperature aware clock-tree optimization," in IEEE/ACM Int.Conf. on Computer-Aided Design, 2005, pp. 582-587.DOI
10 
Ni, Min, et al, "Self heating-aware optimal wire sizing under Elmore delay model," in 2007 DATE, Nice, France, April. 16-20, 2007.DOI
11 
Chao Ting-Hai, et al. "Zero skew clock routing with minimum wirelength," in IEEE Transaction on Circuits and Systems II: Analog and Digital Signal Proc., vol. 39, no. 11, pp. 799-814, Nov. 1992.DOI
12 
Elmore, William C. "The transient response of damped linear networks with particular regard to wideband amplifiers," in Journal of applied physics, vol. 19, no. 1, pp. 55-63, April. 1948.DOI
13 
A. B. Kahng, et al, "High-dimensional meta-modeling for prediction of clock tree synthesis outcomes," in 2013 ACM/IEEE Int.Workshop on System Level Interconnect Prediction. IEEE, pp. 1-7.DOI
14 
Lu, Yi-Chen, et al. "GAN-CTS: A generative adversarial framework for clock tree prediction and optimization," in 2019 IEEE/ACM Int. Conf. on Computer-Aided Design (ICCAD), pp. 1-8.DOI
15 
Liao, et al. "A deep reinforcement learning approach for global routing." Journal of Mechanical Design, vol 142, no. 6, pp. 1-12, Jun. 2020.DOI
16 
Zhang, Xing, et al, "Effective thermal conductivity and thermal diffusivity of nanofluids containing spherical and cylindrical nanoparticles," in Experimental Thermal and Fluid Science, vol. 31, no. 6, pp. 593-599, May. 2007.DOI
17 
Ho, T. “Random Decision Forests,” in Proc. of the Third International Conf. on Document Analysis and Recognition, Aug. 1995, pp. 278-282.DOI
18 
Minz, Jacob, et al, "Buffered clock tree synthesis for 3D ICs under thermal variations," in ASP-DAC Conf., March. 2008, pp. 504-509.DOI
19 
(2022). Predictive Technology Model (PTM). [Online]. Available : http://ptm.asu.edu.URL
20 
(2009). International Technology Roadmap for Semiconductors (ISPD) Contest. [Online]. Available : http://ispd.cc/contests/09/ispd09cts.html.URL
21 
(2000). IBM Benchmark. [Online]. Available : http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/BST.URL
22 
(2021). Hotspot 6.0. [Online]. Available : http://lava.cs.virginia.edu/HotSpot/URL