Mobile QR Code QR CODE
Title Random Forest-based Thermal Effect Prediction for Clock Tree Synthesis in 3D-IC
Authors (Myeongwoo Jin) ; (Deokkeun Oh) ; (Juho Kim)
DOI https://doi.org/10.5573/JSTS.2023.23.3.149
Page pp.149-161
ISSN 1598-1657
Keywords Clock tree synthesis; machine learning; random forest; 3D-IC; thermal gradient
Abstract The heat problem has become one of the crucial factors in three-dimensional integrated circuit (3D-IC) design. Thermal gradient and imbalanced distribution may cause uncertainty of clock skew and performance degradation in clock tree synthesis (CTS). In this work, a method based on random forest for thermal variation consideration is proposed for 3D-CTS. Firstly, the training data is generated from the thermal information of each clock tree element. Then, complex thermal effects are calculated from trained data and applied to each step of CTS. Experimental results verify the effectiveness of our prediction algorithm with mean square error (MSE) 0.004 and mean absolute error (MAE) 0.059. Runtime is reduced by 80% on average compared to the existing method with thermal profiling. As a result, the clock skew is more reliable by our approach.