Mobile QR Code QR CODE

REFERENCES

1 
Yin B., Wei X., April 2019, Communication-Efficient Data Aggregation Tree Construction for Complex Queries in IoT Applications, IEEE Internet of Things Journal, Vol. 6, No. 2, pp. 3352-3363DOI
2 
Wang J., Gao Y., Yin X., 2018, An Enhanced PEGASIS Algorithm with Mobile Sink Support for Wireless Sensor Networks, Wirel. Commun. Mob. Comput., Vol. 2018, No. Article ID 9472075DOI
3 
Zhang J., Shen C., Su H., Arafin M. T., Qu G., 2021, Voltage Overscaling- based Lightweight Authentication for IoT Security, IEEE Transactions on ComputersDOI
4 
Shi J., Lu Y., Zhang J., Oct 2020, Approximation Attacks on Strong PUFs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 39, No. 10, pp. 2138-2151DOI
5 
Wang L.-T., Wu C.-W., Wen X., 2006, VLSI Test Principles and Architectures Design for Testability, Morgan Kaufmann: San MateoGoogle Search
6 
Yang B., Wu K., Karri R., Oct 2006, Secure scan: A design-for-test architecture for crypto chips, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., Vol. 25, No. 10, pp. 2287-2293DOI
7 
Yang B., Wu K., Karri R., Oct 2004, Scan based side channel attack on dedicated hardware implementations of data encryption standard, in Proc. IEEE Int. Test Conf. Charlotte NC, Vol. usa, pp. 339-344DOI
8 
Azriel L., Ginosar R., Mendelson A., 2016, Exploiting the scan side channel for reverse engineering of a VLSI device, CCIT Tech. Rep. Dept. Elect. Eng. Technion Israel Inst. Technol., Vol. \#897Google Search
9 
Hely D., 2004, Scan design and secure chip [secure IC testing], in Proc. IOLTS, Vol. 4, pp. 219-224DOI
10 
Yu F., Shen H., Zhang Z., 2021, A new multi-scroll Chua’s circuit with composite hyperbolic tangent-cubic nonlinearity: Complex dynamics, Hardware implementation and Image encryption application, Integration-the VLSI Journal, Vol. 81, pp. 71-83DOI
11 
Nara R., Togawa N., Yanagisawa M., Ohtsuki T., January 2010, Scan-based attack against elliptic curve cryptosystems, in Proc. Asia South Pacific Design Autom. Conf. Taipei Taiwan, pp. 407-412DOI
12 
Kodera H., Yanagisawa M., Togawa N., Dec 2012, Scan-based attack against DES cryptosystems using scan signatures, in Proc. IEEE Asia Pacific Conf. Circuits Syst. Kaohsiung Taiwan, pp. 599-602DOI
13 
Rolt J. D., Natale G. D., Flottes M., Rouzeyre B., Oct 2013, A novel differential scan attack on advanced DFT structures, ACM Trans. Des. Automat. El., Vol. 18, No. 4, pp. 1-22DOI
14 
Ali S. S., Sinanoglu O., Saeed S. M., Karri R., May 2014, New scan attacks against state-of-the-art countermeasures and DFT, in Proc. IEEE Int. Workshop Hardware-Oriented Security Trust Arlington Virginia USA, pp. 142-147DOI
15 
Ali S. S., Sinanoglu O., Karri R., May 2014, Test-mode-only scan attack using the boundary scan chain, in P roc. Eur. Test Symp. Paderborn, Vol. germany, pp. 39-44DOI
16 
Ali S. S., Saeed S. M., Sinanoglu O., Karri R., May 2015, Novel test-mode only scan attack and countermeasure for compression-based scan architectures, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., Vol. 34, No. 5, pp. 808-821DOI
17 
Wang W.Z., Wang J.C., Wang W., Liu P., Cai S., 2019, A Secure DFT Architecture Protecting Crypto Chips Against Scan-Based Attacks, IEEE Access, Vol. 7, pp. 22206-22213DOI
18 
Cui A., Luo Y., Qu H. Li and G., Jan 2017, Why current secure scan designs fail and how to fix them, Integration the VLSI journal, Vol. 56, pp. 105-114DOI
19 
Inoue M., Yoneda T., Hasegawa M., 2009, Partial scan approach for secret information protection, in Proc of IEEE European Test Symposium, pp. 143-148DOI
20 
Fujiwara H., Fujiwara K., Tamamoto H., 2011, Secure scan design using shift register equivalents against differential behavior attack, in Proc of IEEE Asia and South Pacific Design Automation Conference (ASPDAC), pp. 818-823DOI
21 
Xi Chen , Omid Aramoon , Gang Qu , 2018, Balancing Testability and Security by Configurable Partial Scan Design, in Proc of IEEE International Test Conference in Asia, pp. 145-150DOI
22 
Silva M. D., Flottes M.-L., Natale G. D., Rouzeyre B., Prinetto P., Restifo M., 2017, Scan chain encryption for the test, diagnosis and debug of secure circuits, in Proc of 22nd IEEE European Test Symposium, pp. 177-182DOI
23 
Vaghani D., Ahlawat S., Tudu J., May 2018, On Securing Scan Design Through Test Vector Encryption, in Proc. Int. Symp. Circuits Syst. Florence, pp. 466-470DOI
24 
Silva M.D., Flottes M. - L., Natale G.D., Rouzeyre B., Mar 2019, Preventing Scan Attacks on Secure Circuits Through Scan Chain Encryption, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., Vol. 38, No. 3, pp. 538-550DOI
25 
Lee J., Tehranipoor M., Patel C., Plusquellic J., Oct - Dec 2007, Securing designs against scan-based side-channel attacks, IEEE Trans. Depend. Secure, Vol. 4, No. 4, pp. 325-336DOI
26 
Atobe Y., Shi Y., Yanagisawa M., Togawa N., Dec 2013, Secure scan design with dynamically configurable connection, in Proc. 19th IEEE Pacific Rim Int. Symp. Dependable Computing (PRDC) Vancouver Canada, pp. 256-262DOI
27 
Cui A., Luo Y., Li H., Qu G., Jan 2017, Why current secure scan designs fail and how to fix them, Integration, the VLSI journal, Vol. 56, pp. 105-114DOI
28 
Sengar G., Mukhopadhyay D., Chowdhury D. R., Nov 2007, Secured flipped scan-chain model for crypto-architecture, IEEE Trans. on Computeraided design of integrated circuits and systems, Vol. 26, No. 11, pp. 2080-2084DOI
29 
Banik S., Choudhury A., 2013, Improved scan-chain based attacks and related countermeasures, INDOCRYPT 2013 LNCS Springer Heidelberg, Vol. 8250, pp. 78-97DOI
30 
Banik S., Chattopadhyay A., Chowdhury A., Dec 2014, Cryptanalysis of the double-feedback XOR-chain scheme proposed in Indocrypt 2013, in 15th International Conference on Cryptology in India, Vol. new delhi india, pp. 179-196DOI
31 
Cui A., Luo Y., Chang C.-H., Feb 2017, Static and dynamic obfuscations of scan data against scan-based side-channel attacks, IEEE Trans. inf. Forensics Security, Vol. 12, No. 2, pp. 363-376DOI
32 
Cui A., Chang C. - H., Zhou W., Zheng Y., 2019, A New PUF Based Lock and Key Solution for Secure In-field Testing of Cryptographic Chips, IEEE Trans. Emerg. Top. Com.DOI
33 
Wang X., Zhang D., He M., Su D., Tehranipoor M., Sept 2018, Secure Scan and Test Using Obfuscation Throughout Supply Chain, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., Vol. 37, No. 9, pp. 1867-1880DOI
34 
Cui A., Li M., Qu G., Li H., 2020, A Guaranteed Secure Scan Design based on Test Data Obfuscation by Cryptographic Hash, IEEE Trans. Comput.-Aided Design Integr. Circuits Syst. published onlineDOI
35 
Wang W., Wang X., Wang J., Xiong N. N., Cai S., Liu P., 2020, Ensuring Cryptography Chips Security by Preventing Scan-Based Side- Channel Attacks With Improved DFT Architecture, IEEE Transactions on Systems Man Cybernetics-Systems published onlineDOI
36 
Cook Stephen A., Jan 1971, The Complexity of Theorem-Proving Procedures, in Proc. 3rd ACM Symposium on the Theory of Computing New York, pp. 151-158DOI
37 
Vizel Y., Weissenbacher G., Malik S., Nov 2015, Boolean Satisfiability Solvers and Their Applications in Model Checking, Proceedings of the IEEE, Vol. 103, No. 11, pp. 2021-2035DOI
38 
Wang J., Gao Y., Liu W., 2019, An intelligent data gathering schema with data fusion supported for mobile sink in wireless sensor networks, International Journal of Distributed Sensor Networks, Vol. 15, No. 3, pp. 1-9DOI
39 
Li W., Chen Z., Gao X., 2019, Multi-Model Framework for Indoor Localization under Mobile Edge Computing Environment, IEEE Internet Things J., Vol. 6, pp. 4844-4853DOI
40 
Wang J., Gao Y., Liu W., Wu W., Lim S.-J., 2019, An Asynchronous Clustering and Mobile Data Gathering Schema based on Timer Mechanism in Wireless Sensor Networks, CMC Comput. Mater. Contin, Vol. 58, pp. 711-725Google Search
41 
Zhang J., Qu G., 2020, Physical Unclonable Function-based Key-Sharing via Machine Learning for IoT Security, IEEE Transactions on Industrial Electronics, Vol. 67, No. 8, pp. 7025-7033DOI