Mobile QR Code QR CODE

REFERENCES

1 
International technology raod map for semiconductors (ITRS) 2009, www.itrs.netGoogle Search
2 
IEEE international roadmap for devices and systems (IRDS), 2018, https://irds.ieee.org/Google Search
3 
International technology roadmap for semiconductors 2.0 (ITRS 2.0), more moore, 2015, http://www.itrs2.net/itrs-reports.htmlGoogle Search
4 
Young T. K., Sep 1996, Electromigration: the time bomb in deep-submicron ICs, IEEE Spectrum, Vol. 33, pp. 75-78DOI
5 
Bigalke S., Lienig J., Jerke G., Scheible J., Jancke R., Nov 2018, The need and opportunities of electromigration-aware integrated circuit design, in 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-8DOI
6 
Black J. R., 1969, Electromigration a brief survey and some recent results, IEEE Transactions on Electron Devices, Vol. 16, No. 4, pp. 338-347DOI
7 
Blech I. A., Apr 1976, Electromigration in thin aluminium films on titanium nitride, Journal of Applied Physics, Vol. 47, pp. 1203-1208DOI
8 
Pak J., Pathak M., Lim S. K., Pan D. Z., 2011, Modelling of electromigration in through-silicon-via based 3d ic, in 2011 IEEE 61st Electronic Components and Technology Conference (ECTC), pp. 1420-1427DOI
9 
Pathak M., Pak J., Pan D. Z., Lim S. K., 2011, Electromigration modelling and full-chip reliability analysis for BEOL interconnect in tsv-based 3d ICs, in Proceedings of the International Conference on Computer-Aided Design, pp. 555-562DOI
10 
Zhao X., Wan Y., Scheuermann M., Lim S. K., 2013, Transient modelling of TSV-wire electromigration and lifetime analysis of power distribution network for 3d ICs, in 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 363-370DOI
11 
Pak J., Lim S. K., Pan D. Z., 2014, Electromigration study for multiscale power/ground vias in tsv-based 3-d ICs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 33, No. 12, pp. 1873-1885DOI
12 
Huang X., Yu T., Sukharev V., Tan S. X.-D., 2014, Physics-based electromigration assessment for power grid networks, in 2014 51st ACM/EDAC/ IEEE Design Automation Conference (DAC), pp. 1-6DOI
13 
Korhonen M., Bo/rgesen P., Tu K., Li C.-Y., 1993, Stress evolution due to electromigration in confined metal lines, Journal of Applied Physics, Vol. 73, No. 8, pp. 3790-3799DOI
14 
Chen H.-B., Tan S. X.-D., Sukharev V., Huang X., Kim T., 2015, Interconnect reliability modelling and analysis for multi-branch interconnect trees, in Proceedings of the 52nd Annual Design Automation Conference, pp. 90DOI
15 
Demircan E., Shroff M. D., Kim T., Huang X., Tan S. X. ., Nov 2016, Voltage-based electromigration immortality check for general multi- branch interconnects, in 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-7DOI
16 
Ouattara 16. B., Heron O., Sandionigi C., 2016, Fine-grain analysis of the parameters involved in aging of digital circuits, in 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS), pp. 51-53DOI
17 
Posser G., Mishra V., Reis R., Sapatnekar S. S., Dec 2014, Analyzing the electromigration effects on different metal layers and different wire lengths, in 2014 21st IEEE International Conference on Electronics, circuits and systems (icecs), pp. 682-685DOI
18 
Adler T., Barke E., 2000, Single step current driven routing of multiterminal signal nets for analog applications, in Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537), IEEE, pp. 446-450DOI
19 
Adler T., Brocke H., Hedrich L., Barke E., 2000, A current drivenrouting and verification methodology for analog applications, in Proceedings of the 37th Annual Design Automation Conference, pp. 385-389DOI
20 
Jiang I. H., Chang H., Chang C., April 2012, Wit: Optimal wiring topology for electromigration avoidance, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 20, pp. 581-592DOI
21 
Lienig J., Jerke G., Jan 2003, Current-driven wire planning for electromigration avoidance in analog circuits, in Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003, pp. 783-788DOI
22 
Yan J.-T., Chen Z.-W., Nov 2008, Electromigration-aware rectilinear steiner tree construction for analog circuits, in APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems, pp. 1692-1695DOI
23 
Kahng A. B., Nath S., Rosing T. S., 2013, On potential design impacts of electromigration awareness, in 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC), IEEE, pp. 527-532DOI
24 
Tsai Y.-C., Li T.-H., Chen T.-C., Yeh C.-W., Automation, Electromigration- and obstacle-avoiding routing tree construction, in 2013 International Symposium on VLSI Design, Automation, and Test (VLSI-DAT), IEEE, pp. 1-4DOI
25 
Chan W.-T., Kahng A. B., Nath S., 2014, Methodology for electromigration signoff in the presence of adaptive voltage scaling, in 2014 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), IEEE, pp. 1-7DOI
26 
Martins R., Lourenco N., Canelas A., Horta N., 2014, Electromigration- aware and IR-drop avoidance routing in analog multiport terminal structures, in 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, pp. 1-6DOI
27 
Torabi M., Zhang L., 2018, Electromigration-and parasitic-aware ilp- based analog router, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 26, No. 10, pp. 1854-1867DOI
28 
Wang S.-H., Liou G.-H., Su Y.-Y., Lin M. P.-H., 2019, Ir-aware power net routing for multi-voltage mixed-signal design, in 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, pp. 72-77DOI
29 
Jerke G., Lienig J., 2010, Early-stage determination of current-density criticality in interconnects, in 2010 11th International Symposium on Quality Electronic Design (ISQED), IEEE, pp. 667-674DOI
30 
Chen Y., Wang B., Zhang Y., En Y., Huang Y., Lu Y., Liu L., Wang X., 2013, Design of prognostic circuit for electromigration failure of integrated circuit, in Proceedings of the 20th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), pp. 353-356DOI
31 
Chen Y., Xu X., Lu Y., Pan S., 2014, A prognostic circuit for time-dependent dielectric breakdown failure of MOSFET, in 2014 10th International Conference on Reliability, Maintainability and Safety (ICRMS), IEEE, pp. 944-947DOI
32 
Khalil D.E., Ismail Y., May 2006, Optimum sizing of power grids for IR drop, in 2006 IEEE International Symposium on Circuits and Systems-484DOI
33 
Lienig J., Jerke G., Jan 2005, Electromigration-aware physical design of integrated circuits, in 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design, pp. 77-82DOI
34 
Lu T., Yang Z., Srivastava A., March 2016, Electromigration-aware placement for 3d-ics, in 2016 17th International Symposium on Quality Electronic Design (ISQED), pp. 35-40DOI
35 
Keinert J., Smith H. H., Williams P. M., June 28 2011, Method and system for electromigration analysis on signal wiring, US Patent 7,971,171Google Search
36 
Tu R. H., Rosenbaum E., Chan W. Y., Li C. C., Minami E., Quader K., Ko P. K., Hu C., Oct 1993, Berkeley reliability tools-bert, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 12, pp. 1524-1534DOI
37 
Balasubramanian S., Panchanathan A., Chokkalingam B., Padmanaban S., Leonowicz Z., 2018, Module based floorplanning methodology to satisfy voltage island and fixed outline constraints, Electronics, Vol. 7, No. 11, pp. 325DOI
38 
Lin J., Hung Z., March 2012, SKB-tree: A fixed-outline driven representation for modern floorplanning problems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 20, pp. 473-484DOI
39 
de Paris L., Posser G., Reis R., 2016, Electromigration aware circuits by using special signal non-default routing rules, in 2016 IEEE International Symposium on Circuits and Systems (ISCAS), pp. 2795-2798DOI