BalasubramanianSrinath1
RajithaBalreddy1
-
(Electronics and Communication Engineering, SRM University, Kattankulathur 603203,
India)
Copyright © The Institute of Electronics and Information Engineers(IEIE)
Index Terms
Electromigration, multiple supply voltage, physical design
I. INTRODUCTION
Relative scaling of feature size in accordance to technology results in the flow of
large current in the layout (1-4). This large flow of current in the interconnects migrates the electron from its original
position forms hillocks and voids this phenomenon is said to be the Electromigration
(EM) effect (5). Since these failures happen after a circuit has been operating after years or months,
reliability of interconnects is measured in terms of mean time to failure (MTF). The
Black’s formula in Eq. (1.1) helps to evaluate the MTF of the IC (6).
where A refers to the area of the chip in μm$^{2}$, J is the current density mA/μm$^{2}$,
Q is the amount of charge, K is the Boltzmann constant, and T is the temperature in
degree Celsius of the IC. The traditional Black’s equation provides significant life
time prediction that concerning the amount of current density (J) present in a wire
of length (L). In (7), an interesting parameter named as Blech length is introduced that gives the maximum
upper limit length for an interconnect to limit EM. However, these methodologies are
applicable for straight interconnect that has two terminals. Various research works
exist in the literature that proposes on novel models to predict the EM failure of
a multi-terminal net (8-12). Electromigration induces hydro static stress in the metal wire in the time of movement
of atoms. Hence, the research works (13-15) focuses on proposing a dynamic EM stress models to identify the hydro-static effect.
In (32), Jens Lienig and Göran proposed method based on verification of current density in
interconnect during the physical design process of an IC. The power routing with reduced
wire length to accelerate the currents in interconnects incorporated in (33). Placement of standard cell also plays a significant role in dealing with the electromigration
problem due to Through Silicon Vias (TSVs) (34).
Table 1. Summary of existing works on Electromigration reduction
The following two techniques are used to perform EM analysis, (1) EM simulation/analysis,
and (2) wiring topology. In Table 1, we list the various criteria that are important to evaluate EM reduction method
from the some of the notable research works (Refer. in the Table 1). The first method uses a unique simulator to potentially identify the wires which
may undergo failure due to this EM effect. In the second technique, the affected EM
wires are widened according to its current density; this increases the wiring area
in the layout. The works (29,35) utilize the less routing resources using an effective wiring methodologies. The literature
study shows the wiring topology methods (18-22) that utilizes less wire area for routing the EM effected nets. However, these works
do not consider the challenges in satisfying the timing constraint. Hence, this paper
adopts a greedy method to control the current in modern MSV layout in the pre-wiring
stage of physical design. Different from the existing works in Table 1, the proposed methodology provide a solution for simultaneously managing the timing
constraint and EM currents.
Given the maximum EM current limit for each metal layer, and EM affected nets containing
source and sink nodes, we propose a greedy methodology to minimize the EM violations
through simultaneous wire sizing, and sizing of standard cell. On average, wirelength
is improved by 35%, and standard cell area utilization is reduced by 10% with 5% increase
in blech length. At the same time, comparison with the state- of-art works show that
our proposed method provides 43% reduction in Irms current on EM nets, and 5% reduction
of total power consumption without compromising the design constraints. The key contributions
in this work are:
·This paper presents an EM avoidance approach that collectively performs the sizing
of various features in the layout under the timing constraint.
·The effect of Worst case Negative Slack (WNS) slack time and the number of violations
are analysed after each iteration.
·Simulation results using commercial EDA tool shows that our proposed method significantly
reduces EM violations without sacrificing the performance constraint.
The remainder of this paper is organized as follows: Section II describes the proposed
formulation method to reduce EM affecting nets in the early stage of the pre-routing
process. Section III presents the simulation results of the proposed method in multiple
supply voltage design layouts.
II. PROBLEM FORMULATION
This section gives insights to problem formulation and derives proofs supporting the
proposed pre-route early EM avoidance methodology.
Fig. 1. Wire widening (a) Wire with width w, (b) Wide wire (W).
Fig. 1. Wire widening (a) Wire with width w, (b) Wide wire (W).
A. Solution: Increasing width of EM Wire:
Given the EM current density limit for each metal layer, a set of current sources,
a set of sink sources, selection of appropriate width of the EM affected wire segment
controls the current density bound to the EM limit in early stages of routing. ICT
(that contains current limits to all the metal layers for a technology node. It helps
to identify the EM affected nets) and QRC (that contains the information about the
design rules of technology node) files contain the EM current density limit and rules
for each technology.
To minimize the EM violations, this project carefully increases the width of the EM
wire as shown in Fig. 1 Mathematically, it can be written as
In this above Eq. (2.1)), J$_{EM }$stands for EM current density limit, I refer to necessary current, w refers
to the width of an interconnect, and h is the thickness of the wire. Hence, for a
unit length of EM affected wire, an increase in width w helps to acquire the necessary
EM current limit.
The prior works like (20,35,36) mentioned in the literature, constructs a suitable wiring methodology to address
this problem.
B. Solution: Splitting of EM wire:
Fig. 2. Splitting wire (a) long wire, (b) splitting wire.
Fig. 3. Downsizing of cells (a) load cell with high drive strength, (b) Downsizing
of standard cell with buffer inserted in the interconnect.
Given an EM long wire belong to a metal layer and it is associated with connected
interconnect segments, dividing the length of this long EM affected wire into multiple
segments helps to control the EM current density. Fig. 2(a) depicts an EM affected wire, dividing the wire into short segments as in Fig. 2(b) reduces the speed flow of electrons. In (17), Gracieli Posser et al. implements these short segments in different metal layers,
however, this complicates the routing process and affects timing. Hence, this project
uses short segments arising from a long wire of different metal layer.
C. Solution: Downsizing of cells:
Given an EM interconnect whose sink nodes containing standard cells of higher drive
strength, downsizing the drive strength of this standard cell reduces the transient
current flow through the interconnect; thus it helps in avoid EM in the interconnect.
On the other hand, the slow transition due to downsizing of cells will introduce delay
in the path that may affect the performance of the design. To meet the desired timing
constraint, this project uses a greedy methodology in the allocation of the standard
cell to these sink nodes. In this greedy methodology, in addition to downsizing it
adds buffers in interconnects as shown in Fig. 3. Insertion of buffers in the EM affected interconnects reduces the load on the down
sized driving cell. In case of timing critical nets, this paper first performs STA
that involves addition and sizing of standard cells in the path. To verify the current
flow in this timing path, this paper performs EM analysis and corporate the proposed
methodology if the current flow exceeds the technology EM limit.
Fig. 4. Greedy choice property (a) EM net, (b) Downsizing of source s$_{2}$ and sink
t$_{1}$, (c) Insertion of buffers in the path between s$_{1}$ to sink s$_{2}$ and
s$_{2}$ to t$_{1}$.
D. Greedy Methodology
Fig. 5. Greedy choice property on multi-terminal nets (a) multi-terminal EM net, (b)
Achieving EM limits using proposed technique.
The proposed greedy-choice property is based on the various methodologies described
in subsections II-A, II-B, and II-C. Suppose there exist a net that satisfies the
timing constraint but affected due to EM rules or any more complicated multi- terminal
EM nets, implementation our proposed generic form greedy-choice property controls
the current limit of the wire and avoid EM violations.
Observation- Greedy-Choice : Single terminal nets:} Let us consider the motivational
example in (20) that has two sources s$_{1}$, s$_{2}$, and one sink T$_{1}$ an EM net shown in Fig. 4(a).
Solution: This project aims to handle the EM current in the net without changing its
routing guide obtained after static timing analysis. Without loss of generality, the
required current density can be obtained from the following options given below,
1) Option 1: widening of wires.
2) Option 2: downsizing the source s$_{2}$ and sink T$_{1}$.
3) Option 3: insertion of buffers in the path between s$_{1}$ to sink s$_{2 }$ and
s$_{2 }$ to t$_{1}$.
4) Option 4: wire splitting.
For the EM critical net shown in Fig. 4(a), using the option 1, wire widening may create geometrical issues due to increase
in width. Though, implementing option 2 shown in Fig. 4(b) (downsizing of cells) will give a finite solution, however, in some cases it creates
timing violation. Hence, this project adds necessary buffer cells in between the nodes
shown in Fig. 4(c) to satisfy the timing. The option 4 is used when the length of a net is long or with
high current density in an interconnect.
Observation-- Greedy-Choice theorem: Multi-terminal nets:} Consider the Fig. 5(a) that has multi-terminal EM net whose current density is greater than the expected
EM limit. Similar to the previous case in Fig. 4, greedy methodology is followed in this case to handle EM violations. Generally,
the usage of standard cells with smaller drive strength will limit the current flow
in the interconnect. Since these standard cells also drives the remaining cells in
the path, iterative resizing methodology plays a significant role to achieve necessary
the static timing constraints.
In this paper, we perform the following steps iteratively to govern the EM current
and reduce the slack time.
·Firstly, we analyse the AC current flow in the given placed layout. This verification
method identifies the EM violating nets beyond the technology specified current limit.
·Secondly, we impose our proposed methodology to reduce the EM violations.
·Thirdly, the static timing analysis is performed to verify the static timing constraints.
·Finally, we optimize the layout for reducing the design rule violations of the technology.
The above steps are repeated iteratively, to reduce the EM violations and maintain
the design within the timing constraints.
III. EXPERIMENTAL RESULTS
Low-power design has become an important challenge in designing modern system-on-chip
(SoC) integrated circuits. Multiple supply voltage (MSV) technology provides greater
support for controlling the trade-off between power and performance. It involves a
group of modules in a floorplan, which operate at a supply voltage ; it is named as
voltage island. With the advent of these voltage island designs, each interconnect
in the layout carries a different current to operate the module. Generally, currents
exceeding the AC limits of each different layered interconnect will cause Electromigration.
Table 2. Simulation results of proposed greedy framework in AES core benchmark circuit
#Itera
|
Method
|
Net
|
WL
|
Ipeak
|
Slack time
|
# viol.
|
1
|
Initial
|
-
|
|
-
|
3.817
|
2094
|
2
|
Upsize
|
Sa22(4)
|
1.515
|
5.957
|
3.817
|
508
|
3
|
Split
|
Metal(2) n 507
|
113.535
|
9.488
|
2.333
|
912
|
4
|
Spacing
|
Sa33(3)
|
1.37
|
5.775
|
2.37
|
1051
|
5
|
Upsizing
|
US33/n_7 Metal 2(2)
|
11.31
|
5.567
|
2.344
|
938
|
6
|
Width
|
Metal 2(2) US33/n7
|
100.485
|
10.823
|
2.356
|
904
|
7
|
Spacing
|
Metal3(3) n507
|
68.73
|
8.173
|
2.376
|
849
|
8
|
Split the wire
|
Metal 3 n507
|
4.35
|
59.495
|
2.204
|
340
|
9
|
Up size
|
Metal 3(3) n507
|
376.05
|
5.696
|
2.204
|
0
|
The proposed work is implemented on AES core IWLS benchmark circuit that is synthesized
at a 45nm technology library and implemented using multiple supply voltage techniques
in the Cadence Innovus system. All required scripts were implemented in TCL to reduce
the EM violations. The input solutions are the generated floorplans using the proposed
methodology presented in the work (37).
A. Design Flow
Fig. 6. Customized design flow in commercial EDA tool.
Table 3. Layout specifications of AES core circuit
Technology
|
45 nm
|
Frequency
|
125 MHz (ISPD’05)
|
Floorplan utilization
|
70%
|
Total Die area (nm2)
|
403 ×403
|
Total Core area(nm2)
|
364 ×367
|
Voltage island
|
A1
|
A2
|
A3
|
Area(nm2)
|
214 ×367
|
158 ×367
|
364×367
|
Voltage levels
|
0.9v
|
1.0V
|
1.1V
|
Fig. 6 shows the flowchart of the proposed customized design flow in a commercial software
tool to apply the proposed technique. First, the initial floorplan is modified into
a contiguous layout that places modules operating at similar voltage levels in a region.
The resulting floorplan is further optimized for the reduction in wire length. Secondly,
the layout is power planned, followed by placement of the standard cell present in
the design RTL netlist. Static Timing Analysis (STA) is performed to meet the desired
timing constraint. Verification of AC limit in the interconnects is performed in the
timing satisfied layout. Finally, using the proposed greedy methodology presented
in this project, the desired current density limit is obtained in the EM affected
nets.
B. Simulation Results
Assumptions: The following assumptions are considered in this paper,
·For a given RTL composite digital circuit, this article assumes that voltage assignment
is performed using effective methods provided in the literature.
·For better analysis, this article considers that the design has been assigned three
voltage levels, 0.9, 1.0 and 1.1 V.
Table 4. Blech length and current flow on EM affected nets after implementing the
proposed greedy approach
Circuit
|
Layer
|
With EM Violations
|
Proposed method
|
|
I(mA)
|
Blech length
|
Ilimit (mA)
|
Std. cell area (um2)
|
WL
|
I(mA)
|
Blech length
|
Std. cell area (um2)
|
WL
|
AES core
|
metal 1
|
0.00249
|
1.0047
|
1.0908
|
88153.87
|
572112.31
|
0.0024
|
0.88
|
79993.73
|
422401.64
|
metal 2
|
0.013955
|
2.7167
|
1.1974
|
0.0421
|
2.51
|
metal 3
|
0.088477
|
16.52
|
1.1830
|
0.1300
|
14.49
|
metal 4
|
0.114656
|
11.44
|
1.1789
|
0.1207
|
12.25
|
Average ratio
|
|
1.05
|
|
1.1
|
1.35
|
|
1
|
1
|
1
|
Fig. 7. Floorplans of AES core circuit on iterative optimization.
·The initial floorplan is modelled using B * tree, and all modules of the voltage
island are laid out within the island width of wI (38). Further, the specification of the floorplan is given in the Table 3.
·Given a placed design, the static timing analysis performed in the MSV layout for
achieving the positive Worst case negative slack (WNS).
·For verification of AC current limit this paper extracts the electromigration current
limit for each metal layer from the technology library using ICT models.
To determine the EM current in the interconnect, in this work, after static timing
analysis we will verify the AC current limit of each net. Table 2 gives simulation results using the proposed method to resolve EM violations. The
proposed method starts with 2,094 EM violating nets as shown in Fig. 7(a). This method is applied iteratively on various nets mentioned in the second column
of the Table 2 and the EM violations are reduced to zero. In each iteration, we explore critical
networks with large wire length (WL), and perform up-sizing of logic cells, splitting
of interconnect, and modify the spacing and width of the interconnect to reduce the
EM violations and the slack time. Fig. 7 shows the layout of AES core design with iterative reduction of EM violations. Columns
4 and 5 give the peak current limit and peak current obtained in each iteration, respectively.
Furthermore, the Table 4 presents the effect of blech length before and after implementing the proposed solutions.
Table 4 presents the metal layers affected due to EM in the column ”Layer”, the average on
current in various EM nets with respect to metal layers in the column ”I(mA)”, blech
length in μ m, ”Std. cell area” refers to the standard cell area, “WL” denotes the
total wirelength and the technology specific EM current limit as ”I$_{\mathrm{Limit}}$”.
The results reveal that the presented ideology 10% and 25% reduction in standard cell
area and wirelength with 5% increase in the blech length of the EM affected net while
maintaining I$_{\mathrm{Limit}}$ specific to each metal layer. It understood from
the Table 1 that most of the works focuses on improving the wirelength, run time, measurement
of current, and managing Biased Temperature Instability (BTI) on analog circuits.
However, the research work (25) implements the proposed methodology in AES core circuit using adaptive voltage scaling
method for low power. Similarly, the research work (39) perform study in order to understand the impact of non-default layout rules in the
AES core circuit in three wire segments. From the Fig. 8, it is clear that our proposed method offers 43% improvement in the Irms with (39) and 6% current power saving with (25).
Fig. 8. Impact of Iterative optimization.
IV. CONCLUSIONS
In this work, a pre-routing verification and a greedy optimization technique is presented
for avoiding electromigration nets in the early stages of the physical design process.
The major contribution in this work claims that using proposed greedy methodology
solves this EM problem without compromising the global routing configurations. Further,
to overcome the Design rule Violations (DRV) due to the sizing of features, this work
performs refinements in the positions of standard cells. The simulation results from
the implementation of the proposed solution prove that the presented approach also
has the ability in reducing EM violations while optimizing the performance.
ACKNOWLEDGMENTS
The authors like to thank SRM Institute of Science and Technology, Chennai, India,
for carrying out this project in the EDA lab.
REFERENCES
International technology raod map for semiconductors (ITRS) 2009, www.itrs.net
IEEE international roadmap for devices and systems (IRDS), 2018, https://irds.ieee.org/
International technology roadmap for semiconductors 2.0 (ITRS 2.0), more moore, 2015,
http://www.itrs2.net/itrs-reports.html
Young T. K., Sep 1996, Electromigration: the time bomb in deep-submicron ICs, IEEE
Spectrum, Vol. 33, pp. 75-78
Bigalke S., Lienig J., Jerke G., Scheible J., Jancke R., Nov 2018, The need and opportunities
of electromigration-aware integrated circuit design, in 2018 IEEE/ACM International
Conference on Computer-Aided Design (ICCAD), pp. 1-8
Black J. R., 1969, Electromigration a brief survey and some recent results, IEEE Transactions
on Electron Devices, Vol. 16, No. 4, pp. 338-347
Blech I. A., Apr 1976, Electromigration in thin aluminium films on titanium nitride,
Journal of Applied Physics, Vol. 47, pp. 1203-1208
Pak J., Pathak M., Lim S. K., Pan D. Z., 2011, Modelling of electromigration in through-silicon-via
based 3d ic, in 2011 IEEE 61st Electronic Components and Technology Conference (ECTC),
pp. 1420-1427
Pathak M., Pak J., Pan D. Z., Lim S. K., 2011, Electromigration modelling and full-chip
reliability analysis for BEOL interconnect in tsv-based 3d ICs, in Proceedings of
the International Conference on Computer-Aided Design, pp. 555-562
Zhao X., Wan Y., Scheuermann M., Lim S. K., 2013, Transient modelling of TSV-wire
electromigration and lifetime analysis of power distribution network for 3d ICs, in
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 363-370
Pak J., Lim S. K., Pan D. Z., 2014, Electromigration study for multiscale power/ground
vias in tsv-based 3-d ICs, IEEE Transactions on Computer-Aided Design of Integrated
Circuits and Systems, Vol. 33, No. 12, pp. 1873-1885
Huang X., Yu T., Sukharev V., Tan S. X.-D., 2014, Physics-based electromigration assessment
for power grid networks, in 2014 51st ACM/EDAC/ IEEE Design Automation Conference
(DAC), pp. 1-6
Korhonen M., Bo/rgesen P., Tu K., Li C.-Y., 1993, Stress evolution due to electromigration
in confined metal lines, Journal of Applied Physics, Vol. 73, No. 8, pp. 3790-3799
Chen H.-B., Tan S. X.-D., Sukharev V., Huang X., Kim T., 2015, Interconnect reliability
modelling and analysis for multi-branch interconnect trees, in Proceedings of the
52nd Annual Design Automation Conference, pp. 90
Demircan E., Shroff M. D., Kim T., Huang X., Tan S. X. ., Nov 2016, Voltage-based
electromigration immortality check for general multi- branch interconnects, in 2016
IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 1-7
Ouattara 16. B., Heron O., Sandionigi C., 2016, Fine-grain analysis of the parameters
involved in aging of digital circuits, in 2016 IEEE 22nd International Symposium on
On-Line Testing and Robust System Design (IOLTS), pp. 51-53
Posser G., Mishra V., Reis R., Sapatnekar S. S., Dec 2014, Analyzing the electromigration
effects on different metal layers and different wire lengths, in 2014 21st IEEE International
Conference on Electronics, circuits and systems (icecs), pp. 682-685
Adler T., Barke E., 2000, Single step current driven routing of multiterminal signal
nets for analog applications, in Proceedings Design, Automation and Test in Europe
Conference and Exhibition 2000 (Cat. No. PR00537), IEEE, pp. 446-450
Adler T., Brocke H., Hedrich L., Barke E., 2000, A current drivenrouting and verification
methodology for analog applications, in Proceedings of the 37th Annual Design Automation
Conference, pp. 385-389
Jiang I. H., Chang H., Chang C., April 2012, Wit: Optimal wiring topology for electromigration
avoidance, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol.
20, pp. 581-592
Lienig J., Jerke G., Jan 2003, Current-driven wire planning for electromigration avoidance
in analog circuits, in Proceedings of the ASP-DAC Asia and South Pacific Design Automation
Conference, 2003, pp. 783-788
Yan J.-T., Chen Z.-W., Nov 2008, Electromigration-aware rectilinear steiner tree construction
for analog circuits, in APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits
and Systems, pp. 1692-1695
Kahng A. B., Nath S., Rosing T. S., 2013, On potential design impacts of electromigration
awareness, in 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC),
IEEE, pp. 527-532
Tsai Y.-C., Li T.-H., Chen T.-C., Yeh C.-W., Automation, Electromigration- and obstacle-avoiding
routing tree construction, in 2013 International Symposium on VLSI Design, Automation,
and Test (VLSI-DAT), IEEE, pp. 1-4
Chan W.-T., Kahng A. B., Nath S., 2014, Methodology for electromigration signoff in
the presence of adaptive voltage scaling, in 2014 ACM/IEEE International Workshop
on System Level Interconnect Prediction (SLIP), IEEE, pp. 1-7
Martins R., Lourenco N., Canelas A., Horta N., 2014, Electromigration- aware and IR-drop
avoidance routing in analog multiport terminal structures, in 2014 Design, Automation
& Test in Europe Conference & Exhibition (DATE), IEEE, pp. 1-6
Torabi M., Zhang L., 2018, Electromigration-and parasitic-aware ilp- based analog
router, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 26,
No. 10, pp. 1854-1867
Wang S.-H., Liou G.-H., Su Y.-Y., Lin M. P.-H., 2019, Ir-aware power net routing for
multi-voltage mixed-signal design, in 2019 Design, Automation & Test in Europe Conference
& Exhibition (DATE), IEEE, pp. 72-77
Jerke G., Lienig J., 2010, Early-stage determination of current-density criticality
in interconnects, in 2010 11th International Symposium on Quality Electronic Design
(ISQED), IEEE, pp. 667-674
Chen Y., Wang B., Zhang Y., En Y., Huang Y., Lu Y., Liu L., Wang X., 2013, Design
of prognostic circuit for electromigration failure of integrated circuit, in Proceedings
of the 20th IEEE International Symposium on the Physical and Failure Analysis of Integrated
Circuits (IPFA), pp. 353-356
Chen Y., Xu X., Lu Y., Pan S., 2014, A prognostic circuit for time-dependent dielectric
breakdown failure of MOSFET, in 2014 10th International Conference on Reliability,
Maintainability and Safety (ICRMS), IEEE, pp. 944-947
Khalil D.E., Ismail Y., May 2006, Optimum sizing of power grids for IR drop, in 2006
IEEE International Symposium on Circuits and Systems-484
Lienig J., Jerke G., Jan 2005, Electromigration-aware physical design of integrated
circuits, in 18th International Conference on VLSI Design held jointly with 4th International
Conference on Embedded Systems Design, pp. 77-82
Lu T., Yang Z., Srivastava A., March 2016, Electromigration-aware placement for 3d-ics,
in 2016 17th International Symposium on Quality Electronic Design (ISQED), pp. 35-40
Keinert J., Smith H. H., Williams P. M., June 28 2011, Method and system for electromigration
analysis on signal wiring, US Patent 7,971,171
Tu R. H., Rosenbaum E., Chan W. Y., Li C. C., Minami E., Quader K., Ko P. K., Hu C.,
Oct 1993, Berkeley reliability tools-bert, IEEE Transactions on Computer-Aided Design
of Integrated Circuits and Systems, Vol. 12, pp. 1524-1534
Balasubramanian S., Panchanathan A., Chokkalingam B., Padmanaban S., Leonowicz Z.,
2018, Module based floorplanning methodology to satisfy voltage island and fixed outline
constraints, Electronics, Vol. 7, No. 11, pp. 325
Lin J., Hung Z., March 2012, SKB-tree: A fixed-outline driven representation for modern
floorplanning problems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems,
Vol. 20, pp. 473-484
de Paris L., Posser G., Reis R., 2016, Electromigration aware circuits by using special
signal non-default routing rules, in 2016 IEEE International Symposium on Circuits
and Systems (ISCAS), pp. 2795-2798
Author
Srinath Balasubramanian was born in Pondicherry, India, on August 10, 1986. He received
the Bachelor of Engineering degree in Electrical and Electronics engineering from
the Annamalai University, Chidambaram, Tamilnadu, India, in 2007, and the Master of
Engineering degree in VLSI design from the Karunya University, Coimbatore, Tamilnadu,
India, in 2009. Presently, he also received his PhD from School of Electrical and
Electronics Engineering at SRM Institute of Science and Technology, Tamilnadu, India
in 2019. He is working as Assistant Professor in the Department of Electronics and
Communication Engineering, SRM Institute of Science and Technology, Tamilnadu, India.
His current research interests include low power, physical design and testing of VLSI
ICs.
Balreddy Rajitha received the Bachelor of Engineering degree in Electronics and Communication
Engineering, from RMK College of Engineering and Technology, Chennai, Tamilnadu, India,
in 2018 and presently pursing the Master of Technology degree in VLSI design from
SRM Institute of Science and Technology, Tamilnadu, India. Her interests include high
speed CMOS circuit design and digital mixed-signal ICs.