Mobile QR Code QR CODE

  1. (Dept. of Computer Science and Engineering, Hanyang University)



Design-for-testability (DFT), shift power reduction, low power testing, scan chain reordering

I. INTRODUCTION

With advancements in process technology, the transistor density is increasing rapidly. However, scaling down of the voltage is progressing at a slower pace, resulting in problems with high power consumption and elevated rates of aging in semiconductors. Since the power consumption during testing of a semiconductor device is several times higher than that of normal operation, the problem becomes even more prominent during the testing phase of the chips (1-3). Additionally, higher power consumption during testing phase causes excessive heat dissipation that can damage the device-under-test (DUT) and may even cause yield loss. Hence, special care must be taken while testing to ensure that the power rating of SoC (System-On-Chip) is not violated (4).

Scan test is one of the most preferred design-for-testability (DFT) method (5). For this very reason, different standards have also developed to standardize the scan test techniques as described in the literature (6-8). The scan test provides high controllability and observability through a scan chain. Since the scan test significantly increases the test quality, a variety of test solutions for the SoC utilize the scan test architecture during test operation, such as a built-in self-test (BIST) (9,10).

Even though scan test provides the controllability and observability, it causes excessive test power consumption due to serial loading of test patterns and serial unloading of captured responses through scan chains. This excessive test power consumption limits testing of semiconductor at high frequency. Similarly, transitions in the test pattern which is generated by automatic test pattern generator (ATPG) further adds to the problem because they generally contain large number of transitions (11). Therefore, the design-under-test (DUT) becomes vulnerable to damage that is induced by excessive heat dissipation, which is also the main reason that the scan frequencies lag the operating frequencies (12).

In addition to the damage caused by excessive switching and a large withdrawal of power, the high peak test power during testing can induce yield loss by causing unacceptable dynamic IR-drop which causes false delay defects (13,14). With the higher average power consumptions, the heat dissipation causes thermal stress on the internal node of SoC (15), resulting in damage to the bonding wires, internal node on the die, etc. Thus, reducing the average power consumption and peak power consumption can enhance the reliability of SoC.

In this work, we present a low power scan test methodology that tackles the excessive test power consumption caused by switching activity during scan test. The proposed method introduces a modified shift mechanism that exclusively unloads the captured test response that correspond to the previous test stimuli and loads the next test stimuli (i.e. exclusive shift-in and shift-out). In addition, the unloading of the test response is carried out in such a fashion so that the power consumption is reduced due to less switching activity. Furthermore, to reduce the peak power consumption, the scan chains are reordered in such manner that reduces the number of overall transitions. The main contributions of this paper are as follows:

(1) A new shift mechanism is proposed for scan test that significantly reduces the average shift power consumption.

(2) A scan chain reordering scheme is developed to minimize overall amount of switching activities and consequently reduce the peak power.

An optimization method to reduce the area overhead of scan cell reordering is introduced.

The remainder of this paper is organized as follows. In Section II, we present a summary of the related works in the low power scan test. Section III describes the proposed low power scan test method using an exclusive shift-in and shift-out mechanism. Section IV explores the compatibility of the proposed architecture with the advanced test methods, such as at-speed test and scan compression techniques. Section V presents the experimental results. Finally, we summarize the results and present the conclusion in Section VI.

II. RELATED WORKS

For the past few years, most of research has been directed toward the reduction of power consumption, either the average power or peak power during scan testing of DUT. Dynamic power consumption is the power consumed when the switching activity occurs in scan flip-flops and the combinational circuitry attached to it. Dynamic power consumption comprises the major portion of the overall power consumed while scan testing (16). Therefore, researchers have introduced several methodologies to minimize the overall power consumption by reducing the number of switching activities. The previous studies can be categorized into two approaches: 1) the ATPG-based approach and 2) the design-for-testability (DFT)-based approach.

1. ATPG-based Approaches

ATPG-based approaches are techniques, in which test vectors are manipulated to reach an optimization goal with no hardware changes or overhead (e.g. rerouting, splitting of scan chains). Generally, the manipulation of test vectors is performed via ‘X-filling’ or ‘don’t care-filling’ in such a manner that reduces the overall switching in the test vectors, resulting in optimization of power consumption during testing. The other common method is the application of test vector in a manner that minimizes the switching between two test vectors.

Test vectors consist of almost 90% ‘don’t-care’ or ‘X’ bits. These X-bits can be used for several goals such as test vector compression and test power reduction. Thus, filling the ‘X’ bits in test vectors can significantly reduce the test power consumption. S. Sivanantham et al. (17) proposed an algorithm to reduce both the capture power and shift power by using the X-filling technique. Similarly, S. B. Ch. et al. (18) defined the X-filling problem as the variant of interval coloring problem and achieved reduction in peak power. However, as stated earlier, these ‘don’t care’ bits are also used for test vector/data compression, thus using these X-bits to reduce the test power will affect the efficacy of the automatic test equipment (ATE).

The other technique used in ATPG-based approaches is test vector re-ordering. Test vector re-ordering reduces the test power consumption by minimizing the number of transitions between neighboring test vectors. T.Wu et al. (19) used the Ant Colony Optimization (ACO) heuristic algorithm after scan partitioning to reorder the test vector and reduce scan-shift power. Likewise, S. Mitra et al. (20) introduced enhanced ACO to further reduce the power consumption. Despite these advantages, finding the optimal sequence for the test vectors is NP-hard and often requires significant time for computation.

2. DFT-based Approaches

DFT-approaches modify hardware architecture by either re-routing the scan chains or adding some circuitry to achieve the desired goal of reducing power. Reducing test data volume has also been considered as an effective approach under several built-in self-test (BIST) architecture.

Scan chain reordering method reorders the scan flip-flops to minimize the transition between adjacent scan cells during the shifting phase. S. Seo et al. (21) and S. Pathak et al. (22) proposed scan chain reordering methodologies for the relocation of scan flip-flops. However, the complexity of reordering is a NP-hard problem and increases routing congestion consideration during the physical layout process. Scan chain splitting or partitioning methods divide scan chain into multiple smaller scan chains where additional control circuitry is required to exclusively access those scan chains. T. Yoshida et al. (23) and T. Wu et al. (24) proposed scan partitioning of the scan chain and achieved reduction in overall power consumption. Nevertheless, the additional circuitry causes area overhead and may result in performance degradation.

To prevent the propagation of transitions to the combinational circuitry, several techniques are studied. One such method includes the introduction of combinational gate at the output of the flip-flops, also known as the gating technique. The gating logic insertion prevents the switching activity of combinational circuit, thus reducing the total power consumption. Although the test power reductions are significant, this gating method requires large volume of test data and area overhead. To reduce the volume of test data, C. Barnhart et al. (28) introduced OPMISR, which compresses the ATPG vectors based on MISR architecture. Additionally, the MISR reset operation after each scan operation provides independence of test patterns. This provides efficiency in the test without re-generating or re-simulating the test set to compute new signatures. Furthermore, test buffer that holds either the expected signature or expected test result is also reduced, resulting in a reduction of the data volume. Despite their apparent benefits, drawbacks to these techniques still exist, including large area overhead, timing overhead, and significant computational time required to manage test patterns and scan chain reordering. Even though the volume of test data and test time has been reduced, still the issue of excessive test power consumption should be handled. Therefore, in this study we present a modified scan test method with an exclusive shift-in and shift-out mechanism that is less intrusive to the circuit design, which significantly reduces test power consumption regardless of the design characteristics and bears small area overhead with reordering based on the number of fan-outs of the scan flip-flops.

III. PROPOSED SCAN TEST METHOD

1. Exclusive Shift-in and Shift-out Mechanism

In order to reduce test power consumption, an exclusive shift-in and shift-out mechanism is introduced which can significantly reduce the average number of transitions during the scan test. As depicted in Fig. 1(a), in a conventional scan test, the test stimuli of the current scan test pattern (i.e., s1~s6) and captured test results of the prior scan test pattern (i.e., r1~r6) are simultaneously loaded and unloaded to and from the scan flip-flops. On the other hand, the proposed scan test exclusively performs the shift-out and shift-in processes, as depicted in Fig. 1(b). To avoid overwriting the logic states of the scan flip-flops using the shift-in process before observing the captured test results, the shift-out process precedes the shift-in process. The proposed exclusive scan test can be summarized as follows:

1) A tester loads the test stimuli of the 1st scan test pattern into scan chains via external test channels (i.e., input and output (I/O) pads) using a shift-in process.

2) The test results from the functional paths corresponding to the loaded test stimuli are captured in the scan flip-flops.

3) The tester unloads the captured test results from scan chains through external test channels (i.e., shift-out). At the same time, the tester compares the unloaded test response with the expected response. To avoid unnecessary transitions by input value to the scan chain during shift-out process, the scan flip-flops, which unloads the valid test results, are initiated.

4) The tester loads the test stimuli of the next scan test patterns into scan chains.

Fig. 1. Timing diagrams of (a) the shift process of the conventional scan test, (b) the exclusive shift-in and shift-out mechanism of the proposed scan test.

../../Resources/ieie/JSTS.2020.20.4.390/fig1.png

Fig. 2. Example of (a) the shift process of the conventional scan test, (b) the exclusive shift-in and shift-out process of the proposed scan test.

../../Resources/ieie/JSTS.2020.20.4.390/fig2_1.png

../../Resources/ieie/JSTS.2020.20.4.390/fig2_2.png

Steps 2) to 4) are repeatedly performed until the last scan test operation is completed.

Fig. 2(a) and (b) present examples of the conventional shift process and proposed exclusive shift-in and shift-out mechanism, respectively. In this example, a design has 8 scan flip-flops. ‘H’ and ‘L’ indicate high and low logic levels of the captured data to be unloaded, respectively. And ‘1’ and ‘0’ indicate high and low logic levels of the test pattern to be loaded. Each arrow indicates either a 0${\rightarrow}$1 or 1${\rightarrow}$0 transition on a scan flip-flop. The example intuitively shows that the exclusive shift-in and shift-out mechanism results in a smaller number of transitions than the conventional scan test. During shift process of the conventional scan test, the next pattern bits are loaded into the scan chain simultaneously as the captured bits are unloaded. Thus, transitions occur across the entire chain of scan flip-flops. The exclusive shift-in and shift-out mechanism suppresses the transitions in the scan flip-flops by initializing those scan flip-flops. Therefore, the proposed scan test can significantly reduce the average number of transitions. However, this test requires twice the test time of the conventional scan test due to the exclusive shift-in and shift-out procedure.

2. Half-split Scan Chains with Test Channel Sharing

As depicted in the previous section, the test time doubles with the proposed technique due to the exclusive shift-in and shift-out processes of the test stimuli and their results. However, this problem is resolved because there are twice as many pins available for shift-in and shift-out processes, unlike the conventional test architecture (i.e. separate scan-in and scan-out port). The question then becomes how to divide the scan chains between the twice number of pins available now. Intuitively, dividing the scan chains in half would be the best solution which results in twice as many bi-directional pins and scan chains. Therefore, we divided the scan chains into two scan chains of equal length as depicted in Fig. 3.

With this division of scan chains, three facts can be observed. (1) The test time which may have been doubled remains the same as conventional scan test. (2) By using exclusive shift-in and shift-out method with the half-split scan chains, the same number of clock cycles is required for the proposed technique as the conventional test. (3) As a result, the number of transitions of proposed scan test is reduced, resulting in less scan test power.

Fig. 3. Half-split scan chain architecture with test channel sharing.

../../Resources/ieie/JSTS.2020.20.4.390/fig3.png

Another problem that comes with lengthy scan chains is the supply voltage drop, which is also known as IR drop. Because of this voltage drop, the reliability of test cannot be guaranteed. T. Yoshida et. al. (23) has shown that by splitting the scan chain length in half and applying the clock with the different duty cycle can significantly reduce the voltage drop problem. It is implied that voltage drop problem can also be resolved by reducing the concurrent switching activity. Likewise, the splitting of scan chains in half results in the reduced switching, thus the voltage drop problem can be solved.

The number of transitions during the conventional scan test, T$_{scan}$, can be calculated using Eq. (1) , where T$_{load}$(k) and T$_{unload}$(k) indicate the number of transitions required to load and unload the k$^{\mathrm{th}}$ test stimuli and result, respectively. T$_{bound}$(k) denotes the number of transitions occurring at the boundary of the k$^{th}$ test result and test stimuli, when the first bit of the k$^{th}$ test stimuli is loaded into the scan chain. Likewise, the number of transitions during the proposed scan test, T’$_{scan}$, can be calculated with Eq. (2) .

(1)
$T_{scan}=\sum _{k=1}^{N}\left(T_{\textit{unload}}\left(k\right)+T_{load}\left(k\right)+T_{\textit{bound}}\left(k\right)\right)$

(2)
$T'_{scan}=\sum _{k=1}^{N}\left(T'_{\textit{unload}}\left(k\right)+T'_{load}\left(k\right)+T'_{\textit{bound}}\left(k\right)\right)$

The parameters T$_{load}$(k), T$_{unload}$(k), and T$_{bound}$(k) are calculated using Eqs. (3)-(5), where P$_{k}$(i) and R$_{k}$(i) indicate the logic value of the i$^{th}$ bit in the kth test stimuli and the kth test result, respectively. Likewise, the values T’$_{load}$(k), T’$_{unload}$(k), and T’$_{bound}$(k) are calculated with Eqs. (6)-(8).

(3)
$$T_{\text {load}}(k)=\sum_{i=1}^{n-1}\left(i \times\left(P_{k}(i) \oplus P_{k}(i+1)\right)\right)$$

(4)
$$T_{\text {unload}}(k)=\sum_{i=1}^{n-1}\left((n-i) \times\left(R_{k}(i) \oplus R_{k}(i+1)\right)\right)$$

(5)
$$T_{\text {bound}}(k)=n \times\left(P_{k}(n) \oplus R_{k}(1)\right)$$

(6)
$$\begin{aligned} T_{\text {load }}^{\prime}(k)=& \sum_{i=1}^{\frac{n}{2}-1}\left(i \times\left(P_{k}(i) \oplus P_{k}(i+1)\right)\right)+\\ & \sum_{i=\frac{n}{2}+1}^{n-1}\left(\left(i-\frac{n}{2}\right) \times\left(P_{k}(i) \oplus P_{k}(i+1)\right)\right) \end{aligned}$$

(7)
$$\begin{aligned} T_{\text {unload }}^{\prime}(k)=& \sum_{i=1}^{\frac{n}{2}-1}\left(\left(\frac{n}{2}-i\right) \times\left(R_{k}(i) \oplus R_{k}(i+1)\right)\right)+\\ & \sum_{i=\frac{n}{2}+1}^{n-1}\left((n-i) \times\left(R_{k}(i) \oplus R_{k}(i+1)\right)\right) \end{aligned}$$

(8)
$$\begin{aligned} T_{\text {bound }}^{\prime}(k)=& \frac{n}{2} \times\left(P_{k}\left(\frac{n}{2}\right) \oplus R_{k}(1)\right)+\frac{n}{2} \times \\ &\left(P_{k}(n) \oplus R_{k}\left(\frac{n}{2}+1\right)\right) \end{aligned}$$

The difference in the number of transitions between the conventional scan test and the proposed scan test can be calculated by subtracting T’$_{scan}$ from T$_{scan}$, as shown in Eq. (9) .

(9)
$$\begin{aligned} T_{\text {scan }}-T_{\text {scan }}^{\prime}=& \sum_{k=1}^{N}\left(\left(T_{\text {load }}(k)-T_{\text {load }}^{\prime}(k)\right)+\right.\\ &\left(T_{\text {unload }}(k)-T_{\text {unload }}^{\prime}(k)\right)+\\ &\left.\left(T_{\text {bound }}(k)-T_{\text {bound }}^{\prime}(k)\right)\right) \end{aligned}$$

Observations 1, 2, and 3 and theorem 1 below verify that the half-split scan chain architecture of the proposed scan test always results in fewer or the same number of transitions as the conventional scan test.

Observation 1. If any neighboring two bits in the first half of the kth test results have different logic values, the half-split scan chain architecture results in at least (n/2) fewer transitions than the conventional scan test for the shift-out process, where n is the length of a scan chain.

Proof. Suppose $R_{k}\left(j\right)=x,R_{k}\left(j+1\right)=\overline{x}$

where $1<j<\left(\frac{n}{2}- 1\right)$.

This implies that $\sum _{i=1}^{\frac{n}{2}- 1}(R_{k}(i)\oplus R_{k}\left(i+1\right))\geq 1$.

Then,

\begin{equation*} T_{\textit{unload}}\left(k\right)- T'_{\textit{unload}}\left(k\right)=\frac{n}{2}\times \sum _{i=1}^{\frac{n}{2}}\left(R_{k}\left(i\right)\oplus R_{k}\left(i+1\right)\right) \end{equation*}

Therefore, $T_{\textit{unload}}\left(k\right)- T'_{\textit{unload}}\left(k\right)\geq \frac{n}{2}$.

Observation 2. If any neighboring two bits in the second half of the k$^{th}$ stimuli have different logic values, the half-split scan chain architecture results in at least (n/2) fewer transitions than the conventional scan test for shift-in.

Proof. Suppose $P_{k}\left(j\right)=x,P_{k}\left(j+1\right)=\overline{x}$

where $\left(\frac{n}{2}+1\right)<j<\left(n- 1\right)$.

This implies that $\sum _{\frac{n}{2}+1}^{n- 1}(P_{k}(i)\oplus P_{k}\left(i+1\right))\geq 1$.

Then,

$T_{load}\left(k\right)- T'_{load}\left(k\right)=\sum _{i=\frac{n}{2}}^{n- 1}\left(\frac{n}{2}\times \left(P_{k}\left(i\right)\oplus P_{k}\left(i+1\right)\right)\right)$,

so $T_{load}\left(k\right)- T'_{load}\left(k\right)\geq \left(\frac{n}{2}\right)$.

Suppose $T'_{\textit{bound}}\left(k\right)- T_{\textit{bound}}\left(k\right)>0.$

Now

\begin{equation*} T'_{\textit{bound}}\left(k\right)- T_{\textit{bound}}\left(k\right)=- n\times \left(P_{k}\left(n\right)\oplus R_{k}\left(1\right)\right)+ \end{equation*}

\begin{equation*} \frac{n}{2}\times \left(P_{k}\left(\frac{n}{2}\right)\oplus R_{k}\left(1\right)\right)+\frac{n}{2}\times \left(P_{k}\left(n\right)\oplus R_{k}\left(\frac{n}{2}+1\right)\right) \end{equation*}

Observation 3. If the half-split scan chain results in more transitions than the conventional scan test at the boundary of the k$^{th}$ test results and test stimuli, the first half of the k$^{th}$ test results or the second half of the k$^{th}$ test stimuli has two neighboring bits with different logic values.

Proof. Suppose $T'_{\textit{bound}}\left(k\right)- T_{\textit{bound}}\left(k\right)>0.$

Now $T'_{\textit{bound}}\left(k\right)- T_{\textit{bound}}\left(k\right)=- n\times \left(P_{k}\left(n\right)\oplus R_{k}\left(1\right)\right)+$

$\frac{n}{2}\times \left(P_{k}\left(\frac{n}{2}\right)\oplus R_{k}\left(1\right)\right)+\frac{n}{2}\times \left(P_{k}\left(n\right)\oplus R_{k}\left(\frac{n}{2}+1\right)\right)$.

To satisfy the initial assumption,

$~ ~ P_{k}\left(\frac{n}{2}\right)\oplus R_{k}\left(1\right)=1$ or $P_{k}\left(n\right)\oplus R_{k}\left(\frac{n}{2}+1\right)\left(\frac{n}{2}+1\right)=1$.

where $P_{k}\left(n\right)\oplus R_{k}\left(1\right)=0$.

Assume $P_{k}\left(\frac{n}{2}\right)=x$ and $R_{k}\left(1\right)=\overline{x}$, then $P_{k}\left(n\right)=\overline{x~ }$.

This implies that $\sum _{i=\frac{n}{2}}^{n}(P_{k}(i)\oplus P_{k}\left(i+1\right))\geq 1$,

the second half of the k$^{th}$ test stimuli has neighboring two bits with different logic values.

Assume$P_{k}\left(n\right)=x$ and $R_{k}\left(\frac{n}{2}+1\right)=\overline{x}$, then $R_{k}\left(1\right)=x$

It implies that $\sum _{i=1}^{\frac{n}{2}}(R_{k}(i)\oplus R_{k}\left(i+1\right))\geq 1$,

So, the first half of the k$^{th}$ test result has neighbored two bits with different logic values.

Theorem 1. The half-split scan chain architecture of the proposed scan test always results in fewer or the same number of transitions as the conventional scan test.

Proof. Suppose the proposed scan test results in more transitions than the conventional scan test.

This implies $T'_{scan}- T_{scan}>0$.

Then, $T'_{\textit{bound}}\left(k\right)- T_{\textit{bound}}\left(k\right)>0$

Fig. 4. Typical scan flip-flop (scan cell) attached to combinational logic.

../../Resources/ieie/JSTS.2020.20.4.390/fig4.png

Fig. 5. Scan chains along with their fanouts for (a) an unordered original scan chain, (b) a reordered scan chain with respect to their fanouts.

../../Resources/ieie/JSTS.2020.20.4.390/fig5.png

because $T'_{load}\left(k\right)- T_{load}\left(k\right)=- \frac{n}{2}\times \sum _{i=\frac{n}{2}}^{n- 1}\left(P_{k}\left(i\right)\oplus P_{k}\left(i+1\right)\right)<0$ and

\begin{equation*} T'_{\textit{unload}}\left(k\right)- T_{\textit{unload}}\left(k\right)=- \frac{n}{2}\times \sum _{i=1}^{\frac{n}{2}}\left(R_{k}\left(i\right)\oplus R_{k}\left(i+1\right)\right)<0 \end{equation*}

Assume that $T'_{\textit{bound}}\left(k\right)- T_{\textit{bound}}\left(k\right)=\frac{n}{2}$

Then, either $T'_{load}\left(k\right)- T_{load}\left(k\right)\leq - \frac{n}{2}$ or

$T'_{\textit{unload}}\left(k\right)- T_{\textit{unload}}\left(k\right)\leq - \frac{n}{2}$ is found by observations 1, 2, and 3. So, $T'_{scan}- T_{scan}\leq 0$. This contradicts the initial assumption.

Assume $T'_{\textit{bound}}\left(k\right)- T_{\textit{bound}}\left(k\right)=n$

Then, $T'_{load}\left(k\right)- T_{load}\left(k\right)\leq - \frac{n}{2}$ and $T'_{\textit{unload}}\left(k\right)- $ $T_{\textit{unload}}\left(k\right)\leq - \frac{n}{2}$ is found by observations 1, 2, 3.

So, $T'_{scan}- T_{scan}\leq 0$.

This contradicts the initial assumption. Therefore, the proposed exclusive scan test cannot have more transitions than the conventional method.

3. Scan Chain Reordering

During the shift mode, transitions in scan patterns are also propagated to the combinational circuit thus causing the transition at the combinational circuitry level, which also contributes to overall energy consumption.

Fig. 4 shows a typical scan cell attached to a combinational circuit. This figure illustrates that the value of a scan cell is not blocked and propagated to the combinational logic. Alpaslan et al. (25) states that the average number of transitions in the combinational logic of a benchmark circuit during scan shift is found to be 2.5 times more than the average number of transitions during the circuit’s normal functional operation.

Due to this reason, we also propose a simple scan chain re-ordering mechanism to further reduce the energy consumption during shifting operation. Since the number of fan-outs indicates the numbers of gates directly affected by a certain scan cell, we have chosen the number of fan-outs of each scan cell as the re-ordering parameter. If a transition occurs at a scan cell that has large number of fan-outs, it may toggle many gates connected resulting in excessive test power consumption.

Fig. 5(a) shows a typical scan chain attached to the combinational circuit. The number of fan-outs is shown at the output of each scan cell. Fig. 5(b) shows the reordered scan chain according to the number of fan-outs.

After reordering the scan cells based on fan-outs, test result will be shifted out exclusively while the scan cells are filled with ‘1’ or ‘0’ based on the value of the first scan cell. The above observation 4 is generally valid for scan test patterns in which reordered scan cells do not generate more transitions among adjacent cells (21). Sophiscated analysis for the scan test power, scan test patterns and scan chain reordering will be provided in the experimental section.

Fig. 6. Hardware architecture for the proposed scan test with the exclusive shift-in and shift-out method.

../../Resources/ieie/JSTS.2020.20.4.390/fig6.png

4. Hardware Architecture for the Proposed Scan Test

Fig. 6 illustrates the hardware architecture of the proposed exclusive scan test. All the flip-flops have been stitched into scan chains, which are accessed by external scan channels. The proposed scan test has two noticeable circuits, a shared test channel, and a scan initializer, shown as shaded blocks in Fig. 6.

Shared Test Channel

The proposed scan test architecture shares a test channel for both the shift-in and shift-out operations to decrease number of transitions. To perform both the shift-in and shift-out operations using single test channel, a bi-directional pad cell is applied. During the shift-out operation, the captured test results of previous test stimuli are unloaded through the bidirectional pad cells. Then, the next test stimuli are loaded into the scan chains through the bidirectional pad cells during the shift-in operation. The bidirectional pad cell is controlled by a shift_in_enable signal that indicates whether the proposed scan test is currently performing the shift-in or the shift-out operation. Compared to the conventional scan test, in the proposed scan test, all input and output pad cells are replaced with bidirectional pad cells to perform the exclusive shift-in and shift-out.

Scan Initializer

In the proposed scan test, the scan input of the first scan flip-flop is driven by a shared bidirectional pad cell, as shown in Fig. 6. The scan initializer is introduced to prevent unnecessary transitions in the scan flip-flops while the valid test results are unloaded. The scan initializer breaks the feedback path between the last scan flip-flop and the first scan flip-flop during the shift-out phase and ensures that the scan flip-flops, which unload valid test results, have the same logic value. Initialization can be achieved by fixing the input of the first scan flip-flop in a scan chain during the shift-out phase. Simply, a multiplexer can be utilized as the scan initializer. The multiplexer selects a value between the test stimuli from the test channel and the initial value of the first scan flip-flop according to the select signal (i.e., shift_in_enable). If the shift-in-enable signal is 0 (i.e., shift-out), then the initial value is loaded into the scan chain. As a result, the scan flip-flops in a scan chain have the same logic value after the shift-out is complete.

Area Optimization Scheme for Proposed Scan Test

As discussed above, each scan flip-flop requires the scan initializer (multiplexer) to prevent unnecessary transitions. However, the scan initializer causes large area overhead if it is added to every scan flip-flop. To reduce the area overhead, we propose an optimization method which utilizes the scan chain segment which has the same scan cell order as the original scan chain order after reordering. The area analysis for the proposed area optimization method is done in the experimental section.

Fig. 7 shows the example scan chain, reordered scan chain, and area-optimized scan chain (scan chain length is 530). The scan chain inserted by tool is shown in Fig. 7(a). After reordering, the scan chain is reordered in ascending order of fanout as illustrated in Fig. 7(b). As depicted in Fig. 7(b), scan initializer is attached to each scan cell to perform the proposed exclusive scan.

Fig. 7. An example scan chain (scan chain length = 530) (a) unordered original scan chain, (b) reordered scan chain, (c) optimized reordered scan chain.

../../Resources/ieie/JSTS.2020.20.4.390/fig7.png

Fig. 8. (a) Launch-on-capture, (b) launch-on-shift schemes for at the at-speed test of the proposed scan test method.

../../Resources/ieie/JSTS.2020.20.4.390/fig8.png

In reordered scan chain, there are several duplicated scan chain segments which has the same scan cell order and fanout as original scan chain. The proposed optimization method selects these duplicated scan chain segments. Then the scan cells in these segments are grouped together by using single scan initializer, rather than attaching the scan initializers to each scan cells. After grouping the scan cells, these scan chain segments are reordered in ascending order of fanout, as illustrated in Fig. 7(c). As shown in Fig. 7(c), only seven scan initializers are remaining after optimization in reordered scan chain instead of using 530 scan initializers.

IV. COMPATIBILITY OF THE PROPOSED SCAN TEST METHOD WITH ADVANCED SCAN TEST TECHNIQUES

In this section, we review whether our proposed method can be integrated with the existing advanced scan test techniques. We present the practical case of at-speed test mechanism and the scan compression technique using the proposed scan test method are presented below.

1. At-speed Scan Test with the Proposed Scan Test

For at-speed testing, a launch process is required between the shift cycle and the capture cycle. Launch-on-capture (LOC) (26) and launch-on-shift (27) are well-known methods for the launch process.

The proposed method supports both LOC and LOS schemes for at-speed testing, as depicted in Fig. 8. Even though the proposed scan test requires a modified shift process for the exclusive shift-in and shift-out mechanism, the capture cycle is always preceded by the shift-in process, like the conventional scan test. Thus, the launch process and capture process are not affected by the exclusive shift-in and shift-out mechanism of the proposed scan test.

Fig. 9. Proposed scan test architecture with the (a) basic scan compression technique, (b) enhanced scan compression technique.

../../Resources/ieie/JSTS.2020.20.4.390/fig9.png

2. Scan Compression Technique with the Proposed Scan Test

The scan compression technique is an effective way to increase the number of scan chains. It loads the test stimuli to large number of scan chains and unloads the test results from large number of scan chains using a small number of input and output test channels, respectively. When the length of each scan chain is decreased, the test time can be significantly decreased. Most of the modern EDA (Electronic Design Automation) tools for design-for-testability (DFT), such as Synopsys DFTMAX and Mentor Graphics TestKompress, provide scan compression.

The proposed scan test complies well with the compressed scan architecture, as depicted in Fig. 9(a). Some compressed scan architectures use a fewer input test channels as compression operation mode control signals for the decompressor and compressor. This method increases the controllability and observability of the scan chains. The control channels cannot be shared since they should be driven during both the shift-in and shift-out processes. In this case, the test channels, except the control channels, are shared, as depicted in Fig. 9(b). The control channels drive the control data for the compressor and decompressor during the shift-out and the shift-in processes, respectively.

V. EXPERIMENTAL RESULTS

1. Experimental Descriptions

To check the efficacy of the proposed technique, eight benchmark circuits were used for verification: wb_conmax, usb_funct, pci_bridge, des_perf, ethernet and vga_lcd were selected from the IWLS’05 benchmark set, s38417 was selected from the ISCAS’89 and b19 was selected from ITC’99 benchmark sets. These circuits were carefully selected for experimental purposes as they cover a wide range of circuits, such as different circuit size, ratio of sequential circuits to combinational circuit, number of test patterns, and ratio of don’t care bits in their test pattern. Each circuit was synthesized with a 180nm CMOS process using Synopsys Design Compiler. Basic scan chains were inserted using Synopsys DFT compiler. Test patterns were generated using Synopsys TetraMax ATPG. Then the total transition was calculated using the Weighted Transition Metric (WTM), based on the test pattern generated by the ATPG.

2. Comparison of Transition under Half-split Scan Architecture with the Power-aware X-filling Method

Table 1 shows the number of transitions of a conventional scan test and proposed scan test scheme. The circuits were evaluated for both techniques by comparing the number of switching activities under random filling and adjacent filling technique. Random filling technique was used because it enhances the fault coverage. Adjacent filling method was used since it can be implemented easily and consumes far less time compared to other power-aware “don’t care” bit filling methods. Furthermore, adjacent filling can remove the “don’t care” bits from the test patterns more efficiently.

Table 1. Comparison between number of transitions during conventional scan test and proposed half-split scan method

Circuits

(Number of flip-flops)

Number of scan flip-flops

Length of Scan patterns

Portion of X

Conventional scan test

Proposed Half-split scan

Number of scan chains

Random

filling

Adjacent filling

Number of scan chains

Random

filling

Adjacent

filling

Number of Transitions

Number of Transitions

Number of Transitions

vs. Conventional Random filling (%)

Number of Transitions

vs. Conventional Adjacent filling (%)

wb_conmax

(77000)

770

35,061

45.53%

10

30,226,292

18,876,826

20

15,180,241

-49.78%

9,636,486

-48.95%

35,013

45.47%

20

15,125,457

9,521,228

40

8,249,337

-45.46%

6,308,537

-33.74%

usb_funct

(314280)

1746

263,061

83.7%

10

92,357,206

13,228,323

20

46,270,148

-49.90%

6,090,520

-53.96%

262,501

83.52%

20

48,631,698

6,868,756

40

22,644,132

-53.44%

3,775,440

-45.03%

pci_bridge

(1011059)

3359

942,152

93.18%

20

176,380,576

13,129,514

40

90,202,204

-48.86%

8,328,187

-36.57%

942,609

93.23%

30

119,656,773

9,797,715

60

53,694,947

-55.13%

5,046,773

-48.49%

des_perf

(713448)

8808

387,031

54.25%

20

572,789,583

214,825,466

40

266,105,470

-53.54%

107,875,966

-49.78%

387,834

54.36%

30

374,377,022

142,265,224

60

186,758,475

-50.11%

70,665,394

-50.33%

ethernet

(21098544)

10544

20,894,287

99.03%

20

70,747,476,287

263,928,148

40

35,791,885,833

-49.41%

142,066,071

-46.17%

20,896,070

99.04%

30

47,591,963,073

170,694,692

60

24,315,375,232

-48.91%

104,949,225

-38.52%

vga_lcd

(42714579)

17079

42,488,109

99.47%

20

127,923,413,311

433,829,530

40

64,183,537,292

-49.83%

293,308,130

-32.39%

42,490,216

99.47%

30

85,595,768,299

363,664,484

60

44,623,604,110

-47.87%

244,455,468

-32.78%

s38417

(172040)

1564

127,760

74.26%

10

88,434,024

9,185,984

20

42,864,157

-51.53%

4,609,957

-49.82%

127,171

73.92%

20

41,835,111

4,700,947

40

18,438,697

-55.93%

2,388,381

-49.19%

b19

(5275200)

6594

4,482,979

84.98%

20

6,945,178,246

782,259,981

40

3,479,658,732

-49.90%

407,398,501

-47.92%

4,486,575

85.05%

30

4,607,878,576

549,116,300

60

2,349,259,323

-49.02%

270,795,643

-50.69%

As shown in fourth column, the most evaluated benchmark circuit, i.e. vga_lcd and ethernet, test pattern consists of 99% don’t care bits. The 5th and 8th columns show the number of scan chains for each scan method. The number of switching activities that occurred while scanning in and out of the test patterns under random filling and adjacent filling techniques for conventional scan test, are tabulated in column six and seven. As previously discussed in section-III.B that the test time does not change when the splitting the scan chain and using the exclusive shift-in of scan patterns and shift-out of subsequent test results. Columns 9 and 11 contain the number of transitions using the proposed half-split scan method. Columns 10 and 12 shows the reduction in percentage between the proposed method and the conventional scan test using both random filling and adjacent filling, respectively.

Two scan chain configurations were applied for each benchmark circuit. (10 and 20) or (20 and 30) scan chains were inserted into each benchmark circuit depending upon the size of circuit. As the proposed half-split scan technique doubles the scan chains in a circuit, (20 and 40) or (40 and 60) scan chains were inserted depending upon the configuration selected for the conventional scan test. For small designs, (10 and 20) scan chain configuration were adopted, while for the larger circuits (20 and 30) scan chain configuration were used and accordingly for the proposed low power scan test method.

When using the proposed half-split scan method, most of the test cases showed a reduction in transitions from 45% to 55%. The reduction of switching activity differs depending on the circuits’ characteristics, the ratio of X-bits, and complexity of design. We also observed that complex designs showed lower reduction than smaller circuits with similar ratio of X-bits. Regardless of the ratio of X-bits and the size of the circuit design, the proposed half-split scan method still showed an average of 45% and 50% reduction in switching activity for adjacent filling and random filling, respectively.

3. Comparison of Transitions with Reordering

Table 2. Comparison between number of transitions before scan cell reordering and after scan cell reordering

Circuits

(Number of flip-flops)

Number of scan chains

Before reordering

After reordering

Random filling

Adjacent filling

Random filling

Adjacent filling

Number of Transitions

Number of Transitions

Number of Transitions

vs. Before reordering with Random filling (%)

Number of Transitions

vs. Before reordering with Adjacent filling (%)

wb_conmax

(77,000)

20

15,180,241

9,636,486

11,217,255

-26.11%

7,121,897

-26.09%

40

8,249,337

6,308,537

6,298,049

-23.65%

3,722,308

-41.00%

usb_funct

(314,280)

20

46,270,148

6,090,520

30,916,303

-33.18%

4,178,011

-31.40%

40

22,644,132

3,775,440

14,257,606

-37.04%

2,643,692

-29.98%

pci_bridge

(1,011,059)

40

90,202,204

8,328,187

70,374,927

-21.98%

5,463,483

-34.40%

60

53,694,947

5,046,773

43,766,873

-18.49%

3,689,687

-26.89%

des_perf

(713,448)

40

266,105,470

107,875,966

242,402,031

-8.91%

95,171,845

-11.78%

60

186,758,475

70,665,394

172,286,397

-7.75%

64,406,305

-8.86%

ethernet

(21,098,544)

40

35,791,885,833

142,066,071

33,557,492,760

-6.24%

126,686,973

-10.83%

60

24,315,375,232

104,949,225

22,825,202,078

-6.13%

71,309,615

-32.05%

vga_lcd

(42,714,579)

40

64,183,537,292

293,308,130

48,207,749,783

-24.89%

276,097,049

-5.87%

60

44,623,604,110

244,455,468

34,561,900,665

-22.55%

229,423,521

-6.15%

s38417

(172,040)

20

42,864,157

4,609,957

32,573,176

-24.01%

3,964,562

-14.00%

40

18,438,697

2,388,381

14,104,640

-23.51%

2,009,738

-15.85%

b19

(5,275,200)

40

3,479,658,732

407,398,501

2,743,000,359

-21.17%

375,450,352

-7.84%

60

2,349,259,323

270,795,643

1,979,823,494

-15.73%

271,033,343

+0.09%

Table 3. Comparison between number of transitions before scan cell reordering and after scan cell reordering

Circuits (Number of flip-flops)

Number

of scan chains

Half-split scan + Scan reordering

After optimization

(reduced the number of scan initializer)

Random filling

Adjacent filling

Area

overhead

Random filling

Adjacent filling

Area overhead

Number of Transitions

Number of Transitions

Number of Transitions

vs. Before optimization with Random filling (%)

Number of Transitions

vs. Before optimization with Adjacent filling (%)

wb_conmax

(77,000)

20

11,217,255

7,121,897

 +5.01%

11,283,111

+0.59%

7,323,371

+2.83%

+3.17%

40

6,298,049

3,722,308

+4.98% 

6,389,007

+1.44%

3,850,056

+3.43%

+2.99%

usb_funct

(314,280)

20

30,916,303

4,178,011

+20.42% 

31,617,579

+2.27%

4,555,715

+9.04%

+6.47%

40

14,257,606

2,643,692

+20.42% 

15,589,745

+9.34%

2,861,512

+8.24%

+6.27%

pci_bridge

(1,011,059)

40

70,374,927

5,463,483

+22.44% 

71,510,137

+1.61%

5,800,141

+6.16%

+3.07%

60

43,766,873

3,689,687

+23.34% 

47,111,177

+7.64%

4,284,803

+16.13%

+3.29%

des_perf

(713,448)

40

242,402,031

95,171,845

+15.90% 

243,982,498

+0.65%

98,373,113

+3.36%

+3.68%

60

172,286,397

64,406,305

+15.84% 

173,594,318

+0.76%

66,430,525

+3.14%

+3.94%

ethernet

(21,098,544)

40

33,557,492,760

126,686,973

+21.71% 

34,105,386,865

+1.63%

129,458,197

+2.19%

+0.98%

60

22,825,202,078

71,309,615

+21.68% 

23,116,182,124

+1.27%

72,112,963

+1.13%

+1.33%

vga_lcd

(42,714,579)

40

48,207,749,783

276,097,049

+23.67% 

48,512,549,505

+0.63%

278,430,243

+0.85%

+4.53%

60

34,561,900,665

229,423,521

+23.68% 

35,067,527,989

+1.46%

241,893,353

+5.44%

+4.59%

s38417

(172,040)

20

32,573,176

3,964,562

+21.32% 

33,165,568

+1.82%

4,309,538

+8.70%

+11.51%

40

14,104,640

2,009,738

+21.32% 

14,765,281

+4.68%

2,197,300

+9.33%

+11.02%

b19

(5,275,200)

40

2,743,000,359

375,450,352

+8.52% 

2,835,170,933

+3.36%

408,138,458

+8.71%

+3.29%

60

1,979,823,494

271,033,343

+8.51% 

2,029,603,454

+2.51%

294,155,071

+8.53%

+3.32%

After splitting the scan chains, we used a scan chain reordering technique to further reduce the transitions. The ATPG patterns were generated with X-filling method, then, the “don’t care” bits were filled with random filling and adjacent filling. Table 2 shows the number of transitions before and after scan cell reordering for eight benchmark circuits. The first column shows the name of the circuit and the number of flip-flops. The second column represents the scan configuration of each design. Columns three and four show the number of transitions before scan cell reordering, and columns 5, 6, 7, 8 present the number of transitions after scan cell reordering and the ratio of reduction in switching activity both with random filling and adjacent filling.

As shown in Table 2, scan cell reordering with random filling was more effective than adjacent filling. Reordering scan cells with random filling and adjacent filling reduces transitions up to an average of 20%. However, the highest reduction of 41% was achieved by adjacent filling with 40 scan chains in wb_conmax module. The only anomaly is in b19 circuit with 60 scan chains, when patterns are filled with adjacent filling.

After reordering the scan chains, we performed the area optimization to reduce the number of scan initializers attached to each scan cells. Table 3 shows the number of transition during scan test, and area overhead caused by scan initializer of reordered scan chain (before optimization) and reordered scan chain (after optimization).

As shown in Table 3, each benchmark circuit requires 5-23% of area overhead caused by additional scan initializer to apply the proposed exclusive scan. Since there are several scan chain segments which has same scan cell order and fanout in each reordered scan chain, the number of scan initializer may reduce as shown in Fig. 7.

As a result of optimization, area overhead caused by additional scan initializer is reduced to 1-11%. In this case, the number of transition may increase, however, still the number of transition is far less compared to traditional scan method. In addition, the increase of transition (after optimization) was less when random filling is applied rather than adjacent filling is used for scan test.

VI. CONCLUSIONS

We presented a modified scan test scheme that reduces the average test power consumption. The introduced scan test uses an exclusive shift-in and exclusive shift-out method to reduce the switching transition while testing. With the proposed method, the average power usage is significantly decreased due to reduction in the number of transitions during the shift phase by splitting the shift-in and shift-out operations and reordering of scan cells based on fan-out information. Experimental results show that the proposed method decreases the number of transitions by 20-55% regardless of design characteristics, such as the design complexity, the ratio of “don’t care” bits in the test patterns, and configuration for scan test. In addition, an optimization method is introduced to reduce the area overhead of proposed exclusive scan test architecture. As a result, 5-23% of area overhead required for proposed exclusive scan test architecture is reduced to 1-11%.

ACKNOWLEDGMENTS

This work was supported in part by the National Research Foundation of Korea Grant through the Ministry of Education, Science and Technology under Grant (NRF-2017R1D1A1B03030821), in part by the Ministry of Trade, Industry and Energy under Grant (10052875), and in part by the Korea Semiconductor Research Consortium support program for the development of future semiconductor device.

REFERENCES

1 
Butler K., Saxena J., Jain A., Fryars T., Lewis J., Hetherington G., 2004, Minimizing Power Consumption in Scan Testing: Pattern Generation and DFT Techniques, IEEE International Test Conference, pp. 355-364DOI
2 
Li Y., Lien W., Lin I., Lee K., 2014, Capture-power-safe test pattern determination for at-speed scan-based testing, IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 33, No. 1, pp. 127-137DOI
3 
Lin X., Huang Y., 2008, Scan shift power reduction by freezing power sensitive scan cells, Journal of Electronic Testing, Vol. 24, No. 4, pp. 327-334DOI
4 
Zorian Y., 1993, A distributed BIST control scheme for complex VLSI devices, in Proc. IEEE VLSI Test Symposium, pp. 4-9DOI
5 
Wang L., Wu C., Wen X., 2006, VLSI Test Principles and Architectures: Design for Testability, San Francisco, CA, USA: ElsevierGoogle Search
6 
The IEEE Standards Association , 2013, IEEE Standard for Test Access Port and Boundary-Scan ArchitectureGoogle Search
7 
The IEEE Standards Association , 2005, IEEE Standard Testability Method for Embedded Core-based Integrated CircuitsGoogle Search
8 
The IEEE Standards Association , 2014, IEEE Standard for Access and Control of Instrumentation Embedded within a Semiconductor DeviceGoogle Search
9 
Xiang D., Wen X., Wang L. T., Mar 2017, Low-Power Scan-Based Built-In Self-Test Based on Weighted Pseudorandom Test Pattern Generation and Reseeding, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 25, No. 3, pp. 942-953DOI
10 
Bahukudumbi S., Chakrabarty K., Dec 2009, Power Management Using Test-Pattern Ordering for Wafer-Level Test During Burn-In, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 17, No. 12, pp. 1730-1741DOI
11 
Lin H. T., Li J. C. M., Mar 2008, Simultaneous capture and shift power reduction test pattern generator for scan testing, IET Computers & Digital Techniques, Vol. 2, No. 2, pp. 132-141DOI
12 
Venkataramani P., Agrawal V. D., 2013, ATE test time reduction using asynchronous clock period, in Proc. 2013 IEEE International Test Conference (ITC), CA, pp. 1-10DOI
13 
Shen Lin , Chang N., 2001, Challenges in power-ground integrity, in Proc. IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001., pp. 651-654DOI
14 
Holst S., Schneider E., 2017, Analysis and Mitigation of IR-Drop Induced Scan Shift-Errors, in Proc. IEEE International Test Conference (ITC ’17), Fort Worth, Texas, USADOI
15 
Bosio A., Dilillo L., Girard P., Todri A., Virazel A., Nov 2012, Why and how controlling power consumption during test: a survey, in Proc. IEEE 21st Asian Test Symposium (ATS ’12), pp. 221-226DOI
16 
Bushnell M., Agrawal V., 2000, Essentials of Electronic Testing for Digital memory, and Mixed-Signal VLSI Circuits, Kluwer, Boston, MAGoogle Search
17 
Sivanantham S., Saranthkumar k., 2012, CSP-Filling: A New X-filling Technique to Reduce Capture and Shift Power in Test Applications, in Proc. Internation Symposium on Electronic System Design, pp. 135-139DOI
18 
Ch. S. B., Singh S. G., 2015, DP-fill: A Dynamic Programming approach to X-filling for minimizing peak test power in scan tests, in Proc. Design, automation & test in europe conference and exhibition (date), pp. 836-841Google Search
19 
Wu T., Zhou L., Liu H., 2014, Reduced Scan-Shift Power Through Scan Partitioning and Test Vector Reordering, in Proc. 21st IEEE Conference on Electronics, Circuit and Systems, pp. 498-501DOI
20 
Mitra S., Das D., 2016, A Stochastic Heuristic based Approach to Test Vector Reordering for Dynamic Test Power Reduction, in Proc. 2nd International Conference on Contemporary Computing and Informatics, pp. 524-529DOI
21 
Seo S., Lee Y., Nov. 2015, Scan Chain Reordering-aware X-Filing and stitching for Scan Shift Power Reduction, in Proc. IEEE 24th Asian Test Symposium (ATS ’15), pp. 1-6DOI
22 
Pathak S., Grover A., Pohit M., Bansal N., 2017, LoCCo-Based Scan Chain Stitching for Low Power DFT, IEEE Transaction on very Large Scale Integration (VLSI) Systems, Vol. 25, pp. 3227-3236DOI
23 
Yoshida T., Watari M., 2002, MD_SCAN method for low power scan testing, in Proc. 11th Asian Test Symposium (’02), Vol. , No. , pp. 80-85DOI
24 
Wu T., Zhou L., Liu H., 2014, Reducing Scan-Shift Power Through Scan Partitioning and Test Vector Reordering, in Proc. 21$^{st}$ IEEE International Conference on Electronics, Circuits and Systems, pp. 498-501DOI
25 
Alpaslan E., July 2010, On Reducing Scan Shift Activity at RTL, IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems, pp. 1110-1120DOI
26 
Chen Z., Xiang D., 2010, Low-capture-power at-speed testing using partial launch-on-capture test scheme, in Proc. 2010 28th VLSI Test Symposium (VTS), pp. 141-146DOI
27 
Omaña M., Rossi D., Beniamino E., Metra C., Tirumurti C., Galivanche R., Aug 2016, Low-Cost and High-Reduction Approaches for Power Droop during Launch-On-Shift Scan-Based Logic BIST, IEEE Transactions on Computers, Vol. 65, No. 8, pp. 2484-2494DOI
28 
Barnhart C., Brunkhorst V., F.Distler , Farnsworth O., Keller B., Koenemann B., Nov 2001, OPMISR: the foundation for compressed ATPG vectors, in Proc. International Test Conference 2001DOI

Author

Dooyoung Kim
../../Resources/ieie/JSTS.2020.20.4.390/au1.png

Dooyoung Kim received the B.S., M.S., and Ph.D. degrees in computer science and engineering from Hanyang University, Seoul, South Korea, in 2004, 2006, and 2017, respectively. From 2006 to 2012, he was with LG Electronics, Seoul, as a Research Engineer, in-charge of ASIC Front-end process. His current research interests include design-for-testability, low-power design, and IC security.

Jinuk Kim
../../Resources/ieie/JSTS.2020.20.4.390/au2.png

Jinuk Kim received the B.S. in computer science and engineering from Hanyang University, Korea in 2015. Since 2015 he has been working toward the combined M.S. and Ph.D. degree in computer science and engineering at the same university. His interests include memory ECC, memory testing, aging monitoring, reliable design, Design-for-testability (DFT), 3D-IC / System-in-Package (SiP) testing

Muhammad Ibtesam
../../Resources/ieie/JSTS.2020.20.4.390/au3.png

Muhammad Ibtesam received the B.S. in computer science and engineering from Hanyang University, Korea in 2015. Since 2015 he has been working toward the combined M.S. and Ph.D. degree in computer science and engineering at the same university. His interests include memory ECC, memory testing, aging monitoring, reliable design, Design-for-testability (DFT), 3D-IC / System-in-Package (SiP) testing

Solangi, Umair
../../Resources/ieie/JSTS.2020.20.4.390/au4.png

Solangi, Umair is an Assistant Professor in a public sector university in Pakistan in Electronic Engineering Department. He has done Bachelors in Electronic Engineering and Masters in Embedded Systems from Mehran University, Pakistan. He is currently doing Ph.D research in the field of Design For Testability in Hanyang University, ERICA, S. Korea. He is a recepient of PhD Scholarship by Higher Education Commission, Pakistan. Other research interests includes Embeeded System, Low power design, Digital Logic Design.

Sungju Park
../../Resources/ieie/JSTS.2020.20.4.390/au5.png

Sungju Park received the BS degree in Electronic Engineering from Hanyang University, South Korea, in 1983. He received the MS and PhD degrees in Electrical and Computer Engineering from University of Massachusetts, United States, in 1988 and 1992, respectively. From 1983 to 1986, he was with the Gold Star Company in South Korea. From 1992 to 1995, he served IBM Microelectronics, Endicott, NY as a Development Staff in-charge of boundary scan and LSSD scan design. Since then, he has been a Professor in the department of Computer Science and Engineering in Hanyang University, South Korea. His research interests lie in the area of VLSI testing including scan design, built-in self-test, test pattern generation, fault simulation, and synthesis of test. Additional interests include graph theory and design verification. Prof. Park is a member of the Institute of Electronics Engineers of Korea, the Korea Information Science Society, and the Institute of Electronics and Information and Communication Engineers.