Mobile QR Code QR CODE

References

1 
Granberg T., 2004, Handbook of Digital Techniques for High-Speed Design, Englewood Cliffs, NJ: Prentice Hall PTRGoogle Search
2 
Song B., Kim K., Lee J., Burm J., Feb. 2013, A 0.18 ${\mu}$m CMOS 10- Gb/s Dual-Mode 10-PAM Serial Link Transceiver, Circuits and Systems I, IEEE Transactions on, Vol. 60, No. 2, pp. 457-468DOI
3 
Chen W.-H., Dehng G.-K., Chen J.-W., Liu S.-I., Oct. 2001, A CMOS 400-Mb/s serial link for AS-memory systems using a PWM scheme, Solid-State Circuits, IEEE Journal of, Vol. 36, No. 10, pp. 1498-1505DOI
4 
Yang C.-Y., Lee Y., May. 2008, A PWM and PAM Signaling Hybrid Technology for Serial-Link Transceivers, Instrumentation and Measurement, IEEE Transcations on, Vol. 57, No. 5, pp. 1058-1070DOI
5 
Jung M., Fuhrmann J., Ferizi A., Fischer G., Weigel R., Ussmueller T., Dec. 2011, Design of a 12 GHz Low-Power Extended True Single Phase Clock (E-TSPC) Prescaler in 0.13${\mu}$m CMOS technology, Microwave Conference 2011, 2011. APMC 2011. IEEE Asia-Pacific, Vol. 5, No. 8, pp. 1238-1241URL
6 
Cheng H., Musa F. A., Carusone A. C., Aug. 2009, A 32/16-Gb/s Dual-Mode Pulsewidth Modulation Pre-Emphasis (PWM-PE) Transmitter With 30-dB Loss Compensation Using a High-Speed CML Design Methodology, Circuits and System I, IEEE Transacations on, Vol. 56, No. 8, pp. 1794-1806DOI
7 
Farjad-Rad R., Yang C.-K. K., Horowitz M. A., Lee T. H., May. 1999, A 0.4- ${\mu}$m CMOS 10-Gb/s 4-PAM pre-emphasis serial link transmitter, Solid-State Circuits, IEEE Journal of, Vol. 34, No. 5, pp. 580-585DOI
8 
Razavi B., 2001, Design of Analog CMOS Integrated Circuits, New York: McGraw-HillGoogle Search
9 
Gondi S., Razavi B., Sep. 2007, Eqaulization and Clock and Data Recovery Techniques for 10-Gb/s CMOS Serial-Link Receivers, Solid-State Circuits, IEEE Journal of, Vol. 42, No. 9, pp. 1999-2011DOI
10 
Lim B., Yoo C., Nov. 2017, A 12-Gb/s Continuous-time Linear Equalizer with Offset Canceller, Semiconductor Technology and Science, IEIE Journal of, Vol. 19, No. 2, pp. 220-226DOI
11 
Gardner F. M., 2005, Phaselock Techniques, 3$^{\mathrm{rd}}$ ed. HobokenGoogle Search
12 
Chen K. -C., Kuo W. W. -T., Emami A., Mar. 2021, A 60- Gb/s PAM4 Wireline Receiver With 2-Tap Direct Decision Feedback Equalization Employing Track-and-Regenerate Slicer in 28-nm CMOS, Solid-State Circuits, IEEE Journal of, Vol. 56, No. 3, pp. 750-762DOI
13 
Celik F., Akkaya A., Leblebici Y., Feb. 2021, A 32 Gb/s PAM-16 Tx and ADC-Based Rx AFE with 2-tap embedded analog FFE in 28 nm FDSOI, Microelectronics Journal, Vol. 108, pp. Aritcle 104967DOI
14 
Wang L., Fu Y., LaCroix M., Chong E., Carusone A. C., Mar. 2018, A 64Gb/s PAM-4 transceiver utilizing an adaptive threshold ADC in 16nm FinFET, Solid-State Circuits, IEEE International Coference on, pp. 110-111DOI
15 
Depaolio E., et al. , Jan. 2019, A 64 Gb/s Low-Power Transceiver for Short-Reach PAM-4 Electrical Links in 28-nm FDSOI CMOS, Solid-State Circuits, IEEE Journal of, Vol. 54, No. 1, pp. 6-17DOI
16 
Ye B., et al , Feb. 2022, A 2.29pJ/b 112Gb/s Wireline Transceiver with RX 4-Tap FFE for Medium-Reach Applications in 28nm CMOS, Solid-State Circuits, IEEE International Coference on, pp. 118-119DOI