Mobile QR Code QR CODE

References

1 
Binkert N., et al. , Aug. 2011, The gem5 simulator, SIGARCH Comput. Archit. News, Vol. 39, No. 2, pp. 1-7DOI
2 
Choe J., Intel 3d xpoint memory die removed from intel optane pcm, [Online]. Available: https://www.techinsights.com/blog/intel-3d-xpoint-memory-die-removed-intel-optanetm-pcm-phase-change-memoryURL
3 
Dong X., Xu C., Xie Y., Jouppi N. P., July 2012, Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory, IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, pp. 994-1007DOI
4 
Doshi K., Giles E., Varman P., 2016, Atomic persistence for scm with a non-intrusive backend controller, in Proceedings of the 22nd International Symposium on High Performance Computer Architecture (HPCA), pp. 77-89DOI
5 
Fisher R., 2008, Optimizing nand flash performance, in Flash Memory SummitURL
6 
Gupta U., et al. , 2020, The architectural implications of facebook’s dnn-based personalized recommen-dation, in 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA), pp. 488-501DOI
7 
Handy J., 2019, What’s inside and optane dimm., [Online] Available: https://thememoryguy.com/whats-inside-an-optane-dimmURL
8 
Hewlett Packard Lab. , Cacti 6.5., [Online]. Available: https://www.hpl.hp.com/research/cacti/URL
9 
Lee H., Kim M., Kim H., Kim H., Lee H.-J., 2019, Integration and boost of a read-modify-write module in phase change memory system, IEEE Transactions on Computers, Vol. 68, No. 12, pp. 1772-1784DOI
10 
Nair P. J., Chou C., Rajendran B., Qureshi M. K., 2015, Reducing read latency of phase change memory via early read and turbo read, in Proceedings of the 21st International Symposium on High Performance Computer Architecture (HPCA), pp. 309-319DOI
11 
Oracle , Multithreaded programming guide, [Online] Available: https://docs.oracle.com/cd/E2650201/html/E35303/tlib-1.htmlURL
12 
Poremba M., Zhang T., Xie Y., 2015, Nvmain 2.0: A user-friendly memory simulator to model (non-) volatile memory systems, IEEE Computer Architecture Letters, Vol. 14, No. 2, pp. 140-143DOI
13 
Russo U., Ielmini D., Redaelli A., Lacaita A. L., Feb 2008, Modeling of programming and read performance in phase-change memories-part ii: Program disturb and mixed-scaling approach, IEEE Transactions on Electron Devices, Vol. 55, No. 2, pp. 515-522DOI
14 
Wu K., et al. , 2019, Exploiting intel optane ssd for microsoft sql server, in Proceedings of the 15th International Workshop on Data Management on New Hardware. Association for Computing MachineryDOI
15 
Yang B., et al. , May 2007, A low power phase-change random access memory using a data-comparison write scheme, in Proceeding of the 2007 IEEE International Symposium on Circuits and Systems, pp. 3014-3017DOI
16 
Yang C., Emre Y., Cao Y., Chakrabarti C., Oct 2012, Improving reliability of non-volatile memory technologies through circuit level techniques and error control coding, EURASIP Journal on Advances in Signal Processing, Vol. 34, No. 211DOI
17 
Lee H., et al. , May 2022, PCMCsim: An Accurate Phase-Change Memory Controller Simulator and its Performance Analysis, in Proc. 2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS 2022), pp. 299-309DOI
18 
Kim M., Lee J., Kim H., Lee H.-J., Feb. 2021, An Optimal On-Demand Scrubbing Solution for Read Disturbance Errors in Phase-Change Memory, IEIE Transactions on Smart Processing & Computing, Vol. 10, No. 1, pp. 55-60DOI
19 
Strukov D., 2006, The area and latency tradeoffs of binary bit-parallel BCH decoders for prospective nanoelectronic memories, 2006 Fortieth Asilomar Conference on Signals, Vol. systems and computers, pp. 1183-1187DOI
20 
Kim M., Lee H., Kim H., Lee H.-J., 2022, WL-WD: Wear-Leveling Solution to Mitigate Write Disturbance Errors for Phase-Change Memory, IEEE Access, Vol. 10, pp. 11420-11431DOI
21 
Lee H., et al. , An In-Module Disturbance Barrier for Mitigating Write Disturbance in Phase-Change Memory, IEEE Transactions on Computers, to be publishedDOI