Mobile QR Code QR CODE

REFERENCES

1 
Shivnaraine R., July 2014, An 8-11 Gb/s Reference-Less Bang-Bang CDR Enabled by "Phase Reset", IEEE Trans. on Circuits and Systems-I, Vol. 61, pp. 2129-2138DOI
2 
Kiaei A., Sep 2009, A 10 Gb/s NRZ receiver with feedforward equalizer and glitch-free phase-frequency detector, Proceeding of European Solid-State Circuits Conference, pp. 372-375DOI
3 
Jin J., Oct 2018, A 0.75-3.0-Gb/s Dual-Mode Temperature-Tolerant Referenceless CDR With a Deadzone-Compensated Frequency Detector, IEEE J. Solid-State Circuits, Vol. 53, pp. 2994-3003DOI
4 
Elshazly A., A 0.4-to-3 GHz Digital PLL With PVT Insensitive Supply Noise Cancellation Using Deterministic Background Calibration, IEEE J. Solid-State Circuits, Vol. 46, No. 12, pp. 2759-2771DOI
5 
Shu G., feb 2014, A 4-to-10.5 Gb/s 2.2 mW/Gb/s continuous rate digital CDR with automatic frequency acquisition in 65nm CMOS, in IEEE Int. Solid-State Circuits Conf. Tech. Dig., San Francisco, CA, pp. 150-151DOI
6 
Song H., Oct 2010, A 1.0-4.0-Gb/s all-digital CDR with 1.0-ps resolution DCO and adaptive proportional gain control, IEEE J. Solid-State Circuits, Vol. 46, pp. 424-434DOI
7 
Sonntag J.L., Stonick J., July 2006, A Digital Clock and Data Recovery Architecture for Multi-Gigabit/s Binary Links, IEEE J. Solid-State Circuits, Vol. 41, pp. 1867-1875DOI
8 
Hanumolu P. K., Wei G., Moon U., Jan 2008, A Wide-Tracking Range Clock and Data Recovery Circuit, IEEE J. Solid-State Circuits, Vol. 43, pp. 425-439DOI
9 
Kromer C., Nov 2006, A 25-Gb/s CDR in 90-nm CMOS for High-Density Interconnects, IEEE J. Solid-State Circuits, Vol. 41, pp. 2921-2929DOI
10 
Wenjing Yin , A 0.7-to-3.5 GHz 0.6-to-2.8 mW Highly Digital Phase-Locked Loop With Bandwidth Tracking, IEEE Journal of Solid-State Circuits, Vol. 46, pp. 1870-1880DOI
11 
Bueren R., Holzer D., Schmatz M., Nov 2008, 5.75 to 44 Gb/s quarter rate CDR with data rate selection in 90nm bulk CMOS, European Solid-State Circuits Conference, Edinburgh, pp. 166-169DOI
12 
Chen M., Dec 2011, A Fully-Integrated 40-Gb/s Transceiver in 65-nm CMOS Technology, IEEE J. Solid-State Circuits, Vol. 47, pp. 627-640DOI
13 
Piplani S., Nov 2017, Test and Debug Strategy for High Speed JESD204B Rx PHY, IEEE 26th Asian Test Symp., Taipei, pp. 184-188DOI
14 
Wenjing Yin , Sept 2010, A 1.6mW 1.6ps-rms-jitter 2.5GHz digital PLL with 0.7-to-3.5GHz frequency range in 90nm CMOS, in IEEE Custom Integrated Circuits Conference 2010 San FranciscoDOI
15 
Tokonami K., Kohira K., Ishikuro H., Aug 2015, Wave monitor for glitch detection and skew adjusting in high-speed DAC, IEEE Int. Symp. on Radio Frequency Integration Technology, Sendai, pp. 175-177DOI
16 
Huang S., Cao J., Green M. M., Feb 2014, An 8.2-to-10.3 Gb/s Full-Rate Linear Reference-less CDR Without Frequency Detector in 0.18 μm CMOS, Int. Solid-State Circuits Conf. Tech. Dig., San Francisco, CA, pp. 152-153DOI
17 
Francese P. A., Aug 2014, A 16 Gb/s 3.7 mW/Gb/s 8-Tap DFE Receiver and Baud-Rate CDR With 31 kppm Tracking Bandwidth, IEEE J. Solid-State Circuits, Vol. 49, pp. 2490-2502DOI
18 
Gangasani G. R., July 2012, A 16-Gb/s Backplane Transceiver With 12-Tap Current Integrating DFE and Dynamic Adaptation of Voltage Offset and Timing Drifts in 45-nm SOI CMOS Technology, IEEE J. Solid-State Circuits, Vol. 47, pp. 1828-1841DOI