Mobile QR Code QR CODE

  1. (System Integrated Circuit Design Lab, Inha University, 100, Inha-ro, Michuhol-gu, Incheon, Incheon 22212, Korea)



Pulse amplitude modulation, PAM-4, receiver, high-speed interface, time-based, threshold voltage adaptation

I. INTRODUCTION

The growth of 5G mobile communication technology has led to the emergence of new applications such as AI, cloud-services, and autonomous driving. It has increased the demand for high-speed interfaces. In wireline applications, however, as the data rate increases, the channel bandwidth limitation increases, causing difficulties in data transmission [1].

PAM-4 transmits 2 bits of data per symbol. It is proposed as an alternative for high-speed data transmission because of the twofold increase in bandwidth (BW) efficiency over non- return-to-zero (NRZ) [2,3,13].

However, since PAM-4 has a 1/3 of the eye-height of NRZ, it has a 9.5 dB loss in signal-to-noise ratio (SNR) It makes PAM-4 more sensitive to signal distortion caused by PVT variation.

In [4], the time-based LSB decoder separates the data path and reference path. Therefore, it improves the robustness to sampler voltage variations due to the small gm variation of a sampler. Additionally, the time-based LSB decoder utilizes the relationship between input amplitude and the delay of the sampler to determine the least significant bit (LSB). However, in practice, the relationship between input amplitude and the delay of the sampler is not linear. So proper threshold voltage selection is required.

For PAM-4, it needs an adaptive threshold voltage control to achieve a low bit error rate (BER). But it requires extra hardware and power consumption. In [5], four extra error samplers are required for threshold voltage adaptation that utilizes a standard minimum mean square error algorithm. In [6], two error samplers are needed for threshold voltage adaptation. In [7], hardware complexity was reduced by performing threshold voltage adaptation with only a single error sampler. However, it takes a long time to find four data levels with one error sampler. In addition, the initial adaptation steps are required to proceed with threshold voltage adaptation based on random data.

This paper presents a PAM-4 receiver with improved robustness of the sampler against voltage variations by using dual - mode threshold voltage applied the time-based LSB decoder. It also presents a random databased threshold voltage adaptation algorithm using one error sampler. It is possible to reduce power consumption, adaptation time and hardware complexity by finding only two data levels. It is also more stable through continuous threshold voltage adaptation.

This paper is organized as follows. Section II describes the background of the time-based LSB decoder. Then, compares BER performances of threshold voltage under different voltage variation situations. Additionally, the threshold voltage adaptation algorithm, PLL-based clock and data recovery, and mode selection method of the proposed PAM-4 receiver architecture are described. Moreover, the average threshold voltage adaptation time was also analyzed. Section III shows the simulation results of proposed PAM-4 receiver in a 65 nm CMOS process with the threshold voltage adaptation. Finally, Section IV concludes this paper.

II. PROPOSED PAM-4 RECEIVER WITH DUAL-MODE THRESHOLD VOLTAGE USING TIME-BASED LSB DECODER

1. The Background of the PAM-4 Receiver with Time-based LSB Decoder

Fig. 1(a) shows a conventional PAM-4 decoder, which requires three data samplers to make a data decision. As shown in Fig. 1(b), the time-based PAM-4 decoder uses two samplers to perform the data decision [4].

Fig. 2 shows PAM-4 signaling based on gray coding. When the ratio of level mismatch (RLM) is 1, adjacent data levels are spaced 1X apart. When the LSB is 0, the voltage difference in PAM-4 differential signaling is 3X.

When the LSB is 1, the voltage difference is 1X. If the threshold voltage is set to the central of the top eye (TH$_{\mathrm{P}}$), and the central of the bottom eye (TH$_{\mathrm{N}}$), the threshold voltage difference is 2X.

In the case of the time-based LSB decoder, the LSB is determined using the relationship that the input amplitude and the delay of the sampler are inversely proportional.

For example, if the LSB is 0, the voltage difference of the data is 3X and the threshold voltage difference is 2X. Therefore, in the time-based LSB decoder of Fig. 3, A node is charged first. By the positive feedback with cross-coupled, the decision of the LSB is performed. Conversely, if the LSB is 1, B node is charged first and the decision of the LSB is performed. After the LSB decision, A and B nodes are initialized through the reset signal for the next LSB decision.

Fig. 1. The structure of PAM-4 decoder: (a) a conventional PAM-4 decoder; (b) a time-based PAM-4 decoder.
../../Resources/ieie/JSTS.2023.23.5.303/fig1.png
Fig. 2. The voltage difference according to PAM-4 signaling.
../../Resources/ieie/JSTS.2023.23.5.303/fig2.png
Fig. 3. The structure of the time-based LSB decoder.
../../Resources/ieie/JSTS.2023.23.5.303/fig3.png

2. Proposed PAM-4 Decoder with Dual-mode Threshold Voltage using Time-based LSB Decoder

Fig. 4 shows the proposed PAM-4 receiver with dual-mode threshold voltage using the time-based LSB decoder. The equalization is performed with a 2-stage CTLE, and the reduced gain is compensated with VGAs. A total of four samplers are used in one slice: two samplers for data decision, one edge sampler for clock and data recovery (CDR) operation, and one error sampler for threshold voltage adaptation. A PLL-based clock and data recovery architecture has been implemented, and to reduce clock jitter, it transmits only the central crossing edge information of PAM-4 signaling. The threshold voltage [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] for the decision of the LSB can be applied either [D$_{\mathrm{LEV3}}$, D$_{\mathrm{LEV1}}$] or [D$_{\mathrm{LEV0}}$, D$_{\mathrm{LEV2}}$] through the external Mode signal. The mode is determined externally by observing the V$_{\mathrm{DCM}}$ generated by the resistor divider in the last stage of the AFE and the data levels through threshold voltage adaptation. The threshold voltage adaptation uses the MSB value and the output of the error sampler to find the adaptive threshold voltage.

In the case of the time-based LSB decoder, the decision of the LSB is performed using the output delay of the sampler with data input and the output delay of the sampler with threshold input. And the delay of the sampler has the following characteristics.

1. Input amplitude and the delay of the sampler are inversely proportional [4].

2. Input common-mode voltage and the delay of the sampler are inversely proportional [9].

When applying the threshold voltage [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] to [TH$_{\mathrm{P}}$, TH$_{\mathrm{N}}$], the common-mode voltage of the data and the common-mode voltage of the threshold are the same.

In the case of the proposed PAM-4 receiver, the data levels are used as the threshold voltage. Therefore, the common-mode voltage of data and the common-mode voltage of threshold are different. As shown in Fig. 5(a), if [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] is [D$_{\mathrm{LEV3}}$, D$_{\mathrm{LEV1}}$], it has a higher common-mode voltage than the common-mode voltage of data. As shown in Fig. 5(b), if [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] is [D$_{\mathrm{LEV2}}$, D$_{\mathrm{LEV0}}$], it has a lower common-mode voltage than the common-mode voltage of data.

Fig. 6 shows an applied 2-input strong-arm latch. For a differential structure, the transconductance remains relatively constant after the tail current source enters saturation [8]. Therefore, even when the data levels are applied as the threshold voltages, the gm variation of the sampler is small within the range that ensures saturation region of the tail current source. In other words, the sampler has robustness to voltage variation [4].

Fig. 7 models the delay characteristics of the sampler with respect to the input common-mode voltage and input amplitude. The delay of the sampler is not linear with respect to the input amplitude, so applying [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] to [TH$_{\mathrm{P}}$, TH$_{\mathrm{N}}$] results in a delay of the sampler that is less than the midpoint, as shown in Fig. 7(a).

When [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] is [D$_{\mathrm{LEV3}}$, D$_{\mathrm{LEV1}}$], the common-mode voltage of the threshold increases, resulting in a smaller delay of the sampler. Therefore, as shown in Fig. 7(b), the delay of the sampler is relatively to the left of the case of [TH$_{\mathrm{P}}$, TH$_{\mathrm{N}}$]. When [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] is [D$_{\mathrm{LEV2}}$, D$_{\mathrm{LEV0}}$], the common-mode voltage of the threshold decreases and the delay of the sampler increases. As shown in Fig. 7(b), the delay of the sampler is relatively to the right of the case of [TH$_{\mathrm{P}}$, TH$_{\mathrm{N}}$].

Fig. 8(a) shows a test-setup for the BER schematic simulation according to threshold voltages. As shown in Fig. 8(b), the input data is a pseudorandom binary sequence (PRBS)-7 pattern with jitter$_{\mathrm{rms}}$ of 1.2 ps, the common-mode voltage of data, D$_{\mathrm{CM}}$ is 850 mV, and the amplitude of data, V$_{\mathrm{amp}}$ is 0.2 V. The threshold voltage is optimized as shown in Fig. 8(c). Fig. 8(c) shows the threshold voltage, [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] applied [TH$_{\mathrm{P}}$, TH$_{\mathrm{N}}$], [D$_{\mathrm{LEV3}}$, D$_{\mathrm{LEV1}}$] and [D$_{\mathrm{LEV2}}$, D$_{\mathrm{LEV0}}$] respectively. The initial D$_{\mathrm{CM}}$ and REF$_{\mathrm{CM}}$ are the same. The samplers are strong arm latches, as shown in Fig. 6, with an additional parasitic capacitance of 2 fF added to the output nodes (OUT$_{\mathrm{P}}$, OUT$_{\mathrm{N}}$) of each sampler. To consider transient noise, the Noise F$_{\mathrm{max}}$, the Noise F$_{\mathrm{min}}$ are set to [10 GHz, 1]. The LSB is determined by the time-based LSB decoder. Then, BER Checker was used to simulate and compare the BER with different threshold voltages.

Fig. 9 shows the BER of LSB schematic simulation result for the common-mode voltage variation of data. As shown in Fig. 10(a), when the common-mode voltage of data increases, the delay of the sampler with input data decreases. However, when the input common-mode voltage of the sampler increases and leaves the saturation region, and conversely, the delay of the sampler increases. The input driver of the sampler enters the triode region, and the delay of the sampler increases. A bit error occurs first when the [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] applied [D$_{\mathrm{LEV3}}$, D$_{\mathrm{LEV1}}$] with smallest delay of the sampler when the common-mode voltage of data increases.

On the other hand, if the common-mode voltage of data decreases as shown in Fig. 10(b), the delay of the sampler with input data increases. Therefore, the [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] applied [D$_{\mathrm{LEV2}}$, D$_{\mathrm{LEV0}}$], having the longest delay of the sampler, it has the best BER performance.

Fig. 11 shows the BER of the LSB schematic simulation result for the common-mode voltage variation of threshold while the common-mode voltage of the data remains at 850 mV.

As shown in Fig. 12(a), when the common-mode voltage of the threshold increases, the delay of the sampler with the threshold decreases. However, if the input common-monde voltage is too high, the input driver of the sampler enters the triode region, and the delay of the sampler increases. Therefore, even if the common-mode voltage of threshold increases, the effect on the BER performance is small in all threshold cases.

As shown in Fig. 12(b), as the common-mode voltage of thresholds decreases, the delay of the sampler increases. Therefore, [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] applied [D$_{\mathrm{LEV3}}$, D$_{\mathrm{LEV1}}$], having the smallest delay of the sampler, has the best BER performance.

When the analog front-end (AFE) has excessive gain due to PVT variation, the size of the middle eye increases and the size of the top and bottom eyes decrease, as shown in Fig. 13(b). Therefore, the BER test was performed by decreasing the size of the top and bottom eyes in the same proportion as the middle eye size increased. The BER of LSB when RLM is reduced by excessive gain is shown in Fig. 14.

Ideally, when RLM is 1, the voltage difference of data with LSB of 1 is 1X. However, if the middle eye-height increases due to the excessive gain of the AFE, the voltage difference at LSB 1 increases more than 1X, which reduces the delay of the sampler as shown in Fig. 15. Therefore, the [REF$_{\mathrm{P}}$, REF$_{\mathrm{N}}$] with the smallest delay in Fig. 15 is [D$_{\mathrm{LEV3}}$, D$_{\mathrm{LEV1}}$], which has the best BER performance.

Fig. 4. The structure of the proposed 20-Gb/s PAM-4 receiver with threshold voltage adaptation (quarter rate case).
../../Resources/ieie/JSTS.2023.23.5.303/fig4.png
Fig. 5. The voltage difference according to the data level: (a) [DLEV3, DLEV1]; (b) [DLEV2, DLEV0].
../../Resources/ieie/JSTS.2023.23.5.303/fig5.png
Fig. 6. The structure of Strong-arm latch.
../../Resources/ieie/JSTS.2023.23.5.303/fig6.png
Fig. 7. The modeling of the delay of the sampler using threshold voltage: (a) [THP, THN]; (b) [DLEV3, DLEV1] and [DLEV2, DLEV0].
../../Resources/ieie/JSTS.2023.23.5.303/fig7.png
Fig. 8. Test-setup for the BER schematic simulation of LSB using each threshold voltages: (a) test-setup for the BER of LSB; (b) input data: PAM-4 signaling; (c) threshold voltage.
../../Resources/ieie/JSTS.2023.23.5.303/fig8.png
Fig. 9. Bit Error Rate of LSB schematic simulation with common-mode voltage variation of data.
../../Resources/ieie/JSTS.2023.23.5.303/fig9.png
Fig. 10. The modeling of the delay of the sampler: when the common- mode voltage of data: (a) increases; (b) decreases.
../../Resources/ieie/JSTS.2023.23.5.303/fig10.png
Fig. 11. Bit Error Rate of LSB schematic simulation with common-mode voltage variation of threshold.
../../Resources/ieie/JSTS.2023.23.5.303/fig11.png
Fig. 12. The modeling of the delay of the sampler: when the common-mode voltage of threshold: (a) increases; (b) decreases.
../../Resources/ieie/JSTS.2023.23.5.303/fig12.png
Fig. 13. Eye diagrams when the AFE has excessive gain: (a) RLM =1; (b) RLM = 0.7
../../Resources/ieie/JSTS.2023.23.5.303/fig13.png
Fig. 14. Bit Error Rate of LSB schematic simulation with RLM distortion causing excessive gain of the AFE.
../../Resources/ieie/JSTS.2023.23.5.303/fig14.png
Fig. 15. The modeling of the delay of the sampler when the AFE has excessive gain.
../../Resources/ieie/JSTS.2023.23.5.303/fig15.png

3. Proposed Threshold Voltage Adaptation Algorithms.

Fig. 16 shows the threshold voltage adaptation process. The Error$_{\mathrm{LEV}}$ and ErrorB$_{\mathrm{LEV}}$ are symmetrically formed through the output of the 7-bit DAC. The initial Error$_{\mathrm{LEV}}$ starts at the common-mode voltage of the data.

In State1, find the top of all data. If the Error$_{\mathrm{LEV}}$ of the error sampler is located at the top of all data, the output of the error sampler will be 1 for all data. Therefore, if the output of the error sampler is 0, the bit of the DAC forming Error$_{\mathrm{LEV}}$ is increased by 1 LSB (Up). If the Up signal has not occurred for 512 consecutive data samples, it is confirmed that the top of the data level has been found. Then, store a 1 LSB less value of the current bit in a register (D$_{\mathrm{LEV3}}$). ErrorB$_{\mathrm{LEV}}$ is currently located at (D$_{\mathrm{LEV0}}$).

In State2, Error$_{\mathrm{LEV}}$ finds the top of the middle eye. When Error$_{\mathrm{LEV}}$ is located at the top of the middle eye, the error sampler will output 0 for data with MSB of 1. Therefore, if the error sampler outputs 1 for data with MSB of 1, the current bit is decreased by 1 LSB (Down). Finally, it is confirmed that the top of the middle eye has been found when Down signal does not occur for 512 consecutive data samples. Then, the value that is 1 LSB larger than the current bit is stored in the register as D$_{\mathrm{LEV2}}$. Since Error$_{\mathrm{LEV}}$ and ErrorB$_{\mathrm{LEV}}$ move symmetrically, ErrorB$_{\mathrm{LEV}}$ is located at D$_{\mathrm{LEV1}}$. The bit value stored in the register is transmitted to the DAC, which forms the threshold voltage. And even if each eye-heights are not equal, the voltage difference of threshold can always guarantee a voltage between LSB of 1 and LSB of 0. After completing the first threshold voltage adaptation, it re-enters state1 and performs continuous threshold voltage adaptation operation.

Fig. 16. The process of threshold voltage adaptation.
../../Resources/ieie/JSTS.2023.23.5.303/fig16.png

4. PLL-based Clock and Data Recovery

In the proposed receiver, the threshold voltage adaptation is performed after the PLL-based CDR is locked, enabling accurate data determination after the appropriate threshold voltage is converged.

Fig. 17 shows the applied PLL-based CDR structure. The bottom is a conventional charge pump phase-looked loop (CP-PLL) that receives the reference clock, which is the output of the crystal oscillator, as an input. The top is a clock and data recovery loop that receives data input and acquires a phase. For the VCO, it generates an 8-phase clock using a 4-stage ring oscillator of [10]. After achieving frequency lock through the PLL, the transmission of PLL loop information is halted, and the transmission of information for phase acquisition through the CDR loop starts. To achieve high-speed operation, a Bang-Bang phase detector (BBPD) structure is employed in the phase detector.

For PAM-4 signaling, in which there are four data levels per one unit interval (1UI), there are three minor transitions, one major transition, and two intermediate transitions. If a conventional zero-crossing CDR is employed, the non-uniform edge distribution could lead to increased jitter [11,12]. As a result, only the crossing edge information at the center is transmitted to generate P_UP and P_DN signals for phase acquisition. Therefore, in the proposed receiver, before the initial threshold voltage adaptation is completed, the threshold voltage is located at the common mode voltage of the data, allowing the transmission of information for all transitions. After the completion of threshold voltage adaptation, only the crossing edge information is transmitted, resulting in a reduction of clock jitter.

Fig. 17. PLL-based CDR.
../../Resources/ieie/JSTS.2023.23.5.303/fig17.png

5. Mode Selection

As shown in Fig. 18, for dual-mode threshold voltage, the threshold voltage is selected to [D$_{\mathrm{LEV3}}$, D$_{\mathrm{LEV1}}$] or [D$_{\mathrm{LEV2}}$, D$_{\mathrm{LEV0}}$] via the external mode signal, depending on which non-ideality is dominant. There are three main non-idealities in the proposed idea: common-mode voltage variation of the threshold, RLM distortion due to excessive gain of the AFE and common-mode voltage variation of the data.

In the case of RLM distortion caused by excessive gain of the AFE, and common-mode voltage variation of the threshold, it can be verified through the data levels before and after threshold voltage adaptation. The common-mode voltage variation of the data can be observed as VDCM, which is the final stage voltage of the AFE generated by the resistor divider as shown in Fig. 4. This allows for external determination of which non-ideality is dominant, and ultimately, the threshold voltage is selected through the Mode signal.

Fig. 18. Threshold voltage selection.
../../Resources/ieie/JSTS.2023.23.5.303/fig18.png

6. Analysis of Proposed Threshold Voltage Adaptation

The proposed threshold voltage adaptation is performed based on random data. Therefore, the adaptation time is determined by the data pattern required during each state process. To estimate the average time of the proposed threshold voltage adaptation, it is analyzed based on the following assumptions.\begin{enumerate}[1.]

1. The probability of occurrence of D$_{\mathrm{LEV0}}$, D$_{\mathrm{LEV1}}$, D$_{\mathrm{LEV2}}$, and D$_{\mathrm{LEV3}}$ is 1/4 each.

2. Each PAM-4 symbol level exhibits on the Gaussian distribution.

Table 1 shows the equations for the average adaptation time of each state. B$_{\mathrm{X}}$ is the bit value that forms the voltage of the X-level. Tcheck is the time to observe 512 data symbols to determine the current state. ${\upalpha}$ is a weight value between approximately 2 and 4 to calibrate the adaptation time based on simulation results.

For State1, it needs D$_{\mathrm{LEV3}}$ and D$_{\mathrm{LEV2}}$ to find the top of all the data. During the State1, if Error$_{\mathrm{LEV}}$ is located between D$_{\mathrm{LEV2}}$ and D$_{\mathrm{LEV1}}$, the Up signal generates depending on the occurrence of D$_{\mathrm{LEV3}}$ and D$_{\mathrm{LEV2}}$. After that, if Error$_{\mathrm{LEV}}$ is located between D$_{\mathrm{LEV3}}$ and D$_{\mathrm{LEV2}}$, the Up signal is generated depending on the occurrence of D$_{\mathrm{LEV3}}$. Therefore, the average adaptation time of State1 is equal to (1).

In the case of State2, it needs D$_{\mathrm{LEV3}}$ and D$_{\mathrm{LEV2}}$ to find the top of the middle eye. Initially, the Down signal is generated depending on the occurrence of D$_{\mathrm{LEV3}}$ and D$_{\mathrm{LEV2}}$. When Error$_{\mathrm{LEV}}$ is located between D$_{\mathrm{LEV3}}$ and D$_{\mathrm{LEV2}}$, Down signal is generated depending on the occurrence of D$_{\mathrm{LEV2}}$. Therefore, the average adaptation time of State2 is given by Eq. (2).

Fig. 19 shows the histogram of the threshold voltage adaptation time for 10,000 runs. Since it is based on random data, the adaptation time has a Gaussian distribution. When the eye-height interval of single-ended data is 50 mV and the DAC resolution is 4 mV per 1 LSB, the average adaptation time is 705 ns, with a 1\% error from the equation compared to the calculated value. If the randomness of the data cannot be ensured, the adaptation time may become longer. To address this, specific training data patterns might be required.

Table 1. The analysis of proposed threshold voltage adaptation

(1)
$$ \mathrm{T}_{\text {avg of State1 }}=\begin{aligned} & \frac{2}{f_{c l k}}\left(\mathrm{~B}_{\text {top of the middle eye }}-\mathrm{B}_{\mathrm{CM}}\right)+\frac{2.67 \alpha}{f_{c l k}}\left(\mathrm{~B}_{\text {bottom of the top eye }}-\mathrm{B}_{\text {top of the middle eye }}\right) \\ & +\frac{4}{f_{c l k}}\left(\mathrm{~B}_{\text {top of the top eye }}-\mathrm{B}_{\text {bottom of top eye }}\right)+\frac{8 \alpha}{f_{c l k}}\left(\mathrm{~B}_{\text {top of all data }}-\mathrm{B}_{\text {top of the top eye }}\right)+\mathrm{T}_{\text {check }} \end{aligned} $$

(2)

$$ \mathrm{T}_{\text {avg of State2 }} ={\frac{2.67 \alpha}{f_{c l k}}\left(\mathrm{~B}_{\text {top of all data }}-\mathrm{B}_{\text {top of the top eye }}\right)+\frac{4}{f_{c l k}}\left(\mathrm{~B}_{\text {top of the top eye }}-\mathrm{B}_{\text {bottom of the top eye }}\right)}$$

$$ \begin{gathered} +\frac{8 \alpha}{f_{c l k}}\left(\mathrm{~B}_{\text {bottom of the top eye }}-\mathrm{B}_{\text {top of the middle eye }}\right)+\mathrm{T}_{\text {check }} \end{gathered} $$

III. SIMULATION RESULT

This circuit was designed in a CMOS 65nm process and verified through schematic simulations. An additional 15fF was added to each wire to account for the parasitic capacitance of the wireline. Fig. 20 shows the schematic simulation process of threshold voltage adaptation. Before adaptation starts, Error$_{\mathrm{LEV}}$ and data levels are positioned at the common-mode voltage of the data. As the adaptation proceeds, in State 1, the Error$_{\mathrm{LEV}}$ searches for the highest point among all data, and if no Up signal occurs for 512 consecutive data, State1 is finished, and State2 begins. During State2, the Error$_{\mathrm{LEV}}$ finds the top of the middle eye. If no Down signal occurs for 512 consecutive data, State2 concludes, indicating the completion of the first adaptation. Then, it re-enters State1 to continue with ongoing threshold voltage adaptation.

Fig. 21 shows the single-ended eye diagram of the data passing through the AFE at 20Gb/s PAM-4 with PRBS31. The spacing between each eye is approximately 50 mV, and the DAC used to form the threshold voltage has a resolution of approximately 4 mV per 1 LSB. As shown in Fig. 22, the threshold voltage adaptation simulation lasted for approximately 20${\mu}$s with a fluctuation of 1 LSB. During the simulation, adaptation was carried out 29 times, with a minimum adaptation time of 540 ns and a maximum adaptation time of 868ns. Fig. 23 presents the results of MSB and LSB error simulations in conjunction with threshold voltage adaptation. When comparing the TX PAM-4 data with the data recovered through CDR, it was confirmed that no LSB errors occurred after the first threshold voltage adaptation.

Table 2 summarizes the performance comparison between this paper and other PAM-4 receivers with threshold voltage adaptations. The proposed idea in this paper applies time-domain decoding, which provides robustness to sampler voltage variation. Compared to [4], the proposed threshold voltage adaptation in this paper, based on data-level identification, allows for the application of dual-mode threshold voltage. It enhances robustness against voltage variation. Furthermore, the proposed threshold voltage adaptation allows for continuous adaptation based on random data while minimizing the number of samplers required for adaptation.

The PAM-4 receiver for 20-Gb/s consumes 29 mW in a 65 nm CMOS process. The AFE, CDR, samplers, and adaptive threshold voltage blocks consume 11.44 mW, 11.79 mW, 1.73 mW and 3.96 mW respectively. Also, the power consumption for each-block in the receiver is shown in Fig. 24.

Compared to other works that applied threshold voltage adaptation [5,7], the proposed approach reduces the power consumption and adaptation time by only finding two data levels based random data.

Fig. 19. The histogram of the threshold voltage adaptation time.
../../Resources/ieie/JSTS.2023.23.5.303/fig19.png
Fig. 20. The process of threshold voltage adaptation.
../../Resources/ieie/JSTS.2023.23.5.303/fig20.png
Fig. 21. Single-ended eye-diagram after AFE.
../../Resources/ieie/JSTS.2023.23.5.303/fig21.png
Fig. 22. Simulation of threshold voltage adaptation carried out over 20 μs.
../../Resources/ieie/JSTS.2023.23.5.303/fig22.png
Fig. 23. Simulation of the error checking.
../../Resources/ieie/JSTS.2023.23.5.303/fig23.png
Fig. 24. The power consumption for the receiver.
../../Resources/ieie/JSTS.2023.23.5.303/fig24.png
Table 2. Performance summary and comparison to prior works

This work

(Schematic)

[4]

(Measurement)

[5]

(Measurement)

[6]

(Measurement)

[7]

(Measurement)

Data rate

20 Gb/s

56-Gb/s

40-56 Gb/s

32-Gb/s

56-Gb/s

Technology

65 nm

CMOS

28 nm

CMOS

16 nm

CMOS FinFET

65 nm

CMOS

65 nm

CMOS

Decoding

Time

domain

Time

domain

Voltage

domain

Voltage

domain

Voltage

domain

Sensitivity to sampler voltage variation

Low

Low

High

High

High

Threshold voltage for a sampler

Dual mode

(DLEV3, DLEV1) or

(DLEV2, DLEV0)

THP, THN

THP, THN

THP, THN

THP, THN

Sampler for data decision /

threshold voltage adaptation in a slice

2/1

2/0

3/4

3/2

3/1

Threshold voltage adaptation process

Continuous

1 time

Continuous

1 time

Continuous

Threshold voltage adaptation for random data

×

Average adaptation time

705 ns

-

17.9 us*

-

14 us

Energy Efficiency [pJ/bit]

1.45**

0.975

4.12***

2.5

4.63***

* Estimate from the measurement.

** Including CDR

*** Including DFE equalization and CDR

IV. CONCLUSION

This paper proposed a 20-Gb/s PAM-4 Receiver with dual-mode threshold voltages using time-based LSB decoder. It has a more robustness to sampler voltage variation environment through appropriate threshold voltage selection. In addition, random data-based threshold voltage adaptation using one error sampler is presented.

Compared to other threshold voltage adaptations that find four data levels, it finds two data levels and reduces the adaptation time. Also, compared to the conventional PAM-4 receiver with threshold voltage adaptation and CDR, considering edge samplers, the number of samplers is reduced by half and hardware complexity is reduced.

ACKNOWLEDGMENTS

This work was supported by the National Research Foundation of Korea (NRF) grants funded by the Korea government (MIST) (No. 2023R1A2C1006578, 2020M3H2A 1076786) and by the MSIT(Ministry of Science and ICT), Korea, under the ITRC support program (IITP-2021-0-02052) supervised by the IITP. Authors also thank the IDEC program and for its hardware and software assistance for the design and simulation.

References

1 
G. Hou and B. Razavi, "A 56-Gb/s 8-mW PAM4 CDR/DMUX With High Jitter Tolerance," Solid-State Circuits, IEEE Journal of, Vol. 57, No. 9, pp. 2856-2867, Sept., 2022DOI
2 
K. -C. Chen, W. W. -T. Kuo and A. Emami, "A 60-Gb/s PAM4 Wireline Receiver With 2-Tap Direct Decision Feedback Equalization Employing Track-and-Regenerate Slicers in 28-nm CMOS," Solid-State Circuits, IEEE Journal of, Vol. 56, No. 3, pp. 750-762, March., 2021.DOI
3 
J. Lee, P. -C. Chiang, P. -J. Peng, L. -Y. Chen and C. - C. Weng, "Design of 56 Gb/s NRZ and PAM4 SerDes Transceivers in CMOS Technologies," Solid-State Circuits, IEEE Journal of, Vol. 50, No. 9, pp. 2061-2073, Sept., 2015.DOI
4 
H. Park, J. Sim, Y. Choi, J. Choi, Y. Kwon and C. Kim, "A 56-Gb/s PAM-4 Receiver Using Time-Based LSB Decoder and S/H Technique for Robustness to Comparator Voltage Variations," Solid-State Circuits, IEEE Journal of, Vol. 57, No. 2, pp. 562-572, Feb., 2022.DOI
5 
J. Im, "A 40-to-56 Gb/s PAM-4 Receiver With Ten-Tap Direct Decision-Feedback Equalization in 16-nm FinFET," Solid-State Circuits, IEEE Journal of, Vol. 52, No. 12, pp. 3486-3502, Dec. 2017.DOI
6 
L. Tang, W. Gai, L. Shi, X. Xiang, K. Sheng and A. He, "A 32Gb/s 133mW PAM-4 transceiver with DFE based on adaptive clock phase and threshold voltage in 65nm CMOS," Solid-State Circuits Conference, 2018. ISSCC 2018. Digest of Technical Papers. IEEE International, pp. 114-116, Feb., 2018DOI
7 
A. Roshan-Zamir et al., “A 56-Gb/s PAM4 Receiver With Low-Overhead Techniques for Threshold and Edge- Based DFE FIR- and IIR-Tap Adaptation in 65-nm CMOS,” Solid-State Circuits, IEEE Journal of, Vol. 54, No. 3, pp. 672-684, Mar., 2019.DOI
8 
B. Razavi, Design of Analog CMOS Integrated Circuits. New York: McGraw-Hill, 2001.URL
9 
Y. Choi et al., "A 25-Gb/s Single-Ended PAM Receiver With Time-Windowed LSB Decoder for High- Speed Memory Interfaces" Solid-State Cir-cuits, IEEE Journal of, Vol. 58, No. 7, pp. 2005-2015, July 2023DOI
10 
J. -M. Kim, S. Kim, I. -Y. Lee, S. -K. Han and S. -G. Lee, "A Low-Noise Four-Stage Voltage-Controlled Ring Oscillator in Deep-Submicrometer CMOS Technology," Circuits and Systems II, IEEE Transactions on, Vol. 60, No. 2, pp. 71-75, Feb. 2006.DOI
11 
J. L. Zerbe et al., "Equalization and clock recovery for a 2.5-10-Gb/s 2-PAM/4-PAM backplane transceiver cell," Solid-State Circuits, IEEE Journal of, Vol. 38, No. 12, pp. 2121-2130, Dec., 2003.DOI
12 
D. -H. Kwon, M. Kim, S. -G. Kim and W. -Y. Choi, "A 32-Gb/s PAM-4 Quarter-Rate Clock and Data Recovery Circuit With an Input Slew-Rate Tolerant Selective Transition Detector," Circuits and Systems II, IEEE Transactions on, Vol. 66, No. 3, pp. 362-366, March. 2019.DOI
13 
P. -J. Peng, J. -F. Li, L. -Y. Chen and J. Lee, "6.1 A 56Gb/s PAM-4/NRZ transceiver in 40nm CMOS," Solid-State Circuits Conference, 2017. ISSCC 2017. Digest of Technical Papers. IEEE International, pp. 110-111, 2018.DOI
Jeong-mi Park
../../Resources/ieie/JSTS.2023.23.5.303/au1.png

Jeong-mi Park received the B.S. degree in Electronic Engineering from Inha University, Incheon, South Korea, in 2021. She is currently pursuing the M.S degree in Electrical and Computer Engineering with Inha University. Her research interests include PLL/CDR, Equalizer, high-speed serial interface, and transceiver design for PAM signaling.

Jin-Ku Kang
../../Resources/ieie/JSTS.2023.23.5.303/au2.png

Jin-Ku Kang received the B.S degree from Seoul National University, Seoul, South Korea, in 1983, the M.S degree in electrical engineering from the New Jersey Institute of Technology, Newark, NJ, USA in 1990, and the Ph.D. degree in electrical and computer engineering from North Carolina State University, Raleigh, NC, USA, in 1996., From 1983 to 1988, he was with Samsung Electronics, Inc., South Korea, where he was involved in memory design. In 1988, he was with Texas Instruments, South Korea. From 1996 to 1997, he was with Intel Corp., Portland, OR, USA, as a Senior Design Engineer, where he was involved in high-speed I/O and timing circuits for processors. Since 1997, he has been with Inha University, Incheon, South Korea, where he is currently a Professor and leads the System IC Design Laboratory in the Department of Electronics Engineering. His research interests include high-speed/low-power mixed-mode circuit design for high-speed serial interfaces.